First conference, ESSCIRC, Southamption 1979

First conference paper, ESSCIRC, Southampton 1979

 

Conference Proceedings

2023

• Sohum Datta, Brian Richards, Harrison Liew, Youbin Kim, Daniel Sun, Jan M Rabaey, HDBinaryCore: A 28nm 2048-bit Hyper-Dimensional biosignal classifier achieving 25 nJ/prediction for EMG hand-gesture recognition, 49th European Solid State Circuits Conference (ESSCIRC), pp. 229-232, Lisbon, Sept. 2023.

• Alisha Menon, Meek Simbule, Harrison Liew, Adriel Tan, Daniel Sun, Jan M Rabaey, Accelerating Hyperdimensional Computing with Vector Machines, 2023 ISCAS Conference, pp. 1-5, Monterey. May 2023.

• Alisha Menon, Laura I Galindez Olascoaga, Vamshi Balanaga, Anirudh Natarajan, Jennifer Ruffing, Ryan Ardalan, Jan M Rabaey, Shared Control of Assistive Robots through User-intent Prediction and Hyperdimensional Recall of Reactive Behavior, 2023 IEEE International Conference on Robotics and Automation (ICRA), pp. 12638-12644, May 2023.

2022

• Alisha Menon, Laura I Galindez Olascoaga, Niki Shakouri, Jennifer Ruffing, Vamshi Balanaga, Jan M Rabaey, Brain-inspired Multi-level Control of an Assistive Prosthetic Hand through EMG Task Recognition, 2022 IEEE Biomedical Circuits and Systems Conference (BioCAS), pp. 384-388, Oct. 2022.

• Geovane Fedrecheski, Laisa CP Costa, Samira Afzal, Jan M Rabaey, Roseli D Lopes, Marcelo K Zuffo, A low-overhead approach for self-sovereign identity in IoT, Global IoT Summit, pp. 265-276, June 2022.

• Sohum Datta, Jan M Rabaey, A probability-inspired normalization for fixed-precision Hyper-Dimensional Computing, 2022 IEEE 4th International Conference on Artificial Intelligence Circuits and Systems (AICAS), pp. 21-24, June 2022.

• A Menon, A Natarajan, LIG Olascoaga, Y Kim, B Benedict, JM Rabaey, On the Role of Hyperdimensional Computing for Behavioral Prioritization in Reactive Robot Navigation Tasks, 2022 International Conference on Robotics and Automation (ICRA), pp 7335-7341, May 2022.

• Laura Isabel Galindez Olascoaga, Alisha Menon, Mohamed Ibrahim, Jan Rabaey, “A Brain-Inspired Hierarchical Reasoning Framework for Cognition-Augmented Prosthetic Grasping,” AAAI 2022 CLeaR Workshop, February 2022.

2021

• A Menon, D Sun, M Aristio, H Liew, K Lee, JM Rabaey, “A Highly Energy-Efficient Hyperdimensional Computing Processor for Wearable Multi-Modal Classification,” Proceedings IEEE Biomedical Circuits and Systems Conference (BioCAS), October 2021.

• Elahe Soltanaghaei, Akarsh Prabhakara, Artur Balanuta, Matthew Anderson, Jan M Rabaey, Swarun Kumar, Anthony Rowe, “Millimetro: mmWave retro-reflective tags for accurate, long range localization,” Proceedings of the 27th Annual International Conference on Mobile Computing and Networking (MobiCom), pp. 68-82, October 2021.

• Jan M Rabaey, Ana Claudia Arias, Rikky Muller, “Architecting the Human Intranet,” Proceedings 2021 ESSCIRC Conference, pp. 15-20, September 2021.

• Diao, Cameron & Kleyko, Denis & Rabaey, J.M. & Olshausen, Bruno, “Generalized Learning Vector Quantization for Classification in Randomized Neural Networks and Hyperdimensional Computing,” International Joint Conference on Neural Networks (IJCNN), July 2021.

• Guillaume Tochou, Robin Benarrouch, David Gaidioz, Andreia Cathelin, Antoine Frappé, Andreas Kaiser, Jan Rabaey, “Fully-Digital 0.1-to-27 Mb-s ULV 450 MHz Transmitter with sub-100 µW Power Consumption for Body-Coupled Communication in 28 nm FD-SOI CMOS,” Proceedings RFIC, June 2021. First Place Industry Best Paper Award.

• A Zhou, R Muller, J Rabaey, “Memory-Efficient, Limb Position-Aware Hand Gesture Recognition using Hyperdimensional Computing,” Proceedings TinyML Symposium, March 2021; Also: arXiv preprint arXiv:2103.05267

• Jan M. Rabaey, “Of Brains and Computers,” Proceedings of 2021 International Symposium on Physical Design (ICPD), pp. 109, March 2021.

2020

• G Fedrecheski, JM Rabaey, LCP Costa, PCC Ccori, WT Pereira, MK Zuffo, “Self-Sovereign Identity for IoT environments: A Perspective,” 2020 Global Internet of Things Summit (GIoTS), June 2020.

• R Benarrouch, A Moin, F Solt, A Frappé, A Cathelin, A Kaiser, J Rabaey, “Heartbeat-based synchronization scheme for the human intranet: Modeling and analysis,” 2020 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1-5, May 2020.

2019

• G Alexandrov, JM Rabaey, “Wireless Power Transfer to Randomly Distributed Implants via Homogeneous Magnetic Fields,” 2019 IEEE Biomedical Circuits and Systems Conference (BioCAS), pp 1-4, October 2019.

• A Moin, A Zhou, S Benatti, A Rahimi, L Benini, JM Rabaey, “Analysis of contraction effort level in EMG-based gesture recognition using hyperdimensional computing, 2019 IEEE Biomedical Circuits and Systems Conference (BioCAS), pp 1-4, October 2019.

• A Thielens, R Aminzadeh, L Martens, W Joseph, J Rabaey, “Channel Gain for a Wrist-to-Arm Scenario in the 55–65 GHz Frequency Band,” EAI International Conference on Body Area Networks, pp. 349-359, October 2019.

• R Benarrouch, A Thielens, A Cathelin, A Frappé, A Kaiser, J Rabaey, “Capacitive body-coupled communication in the 400–500 MHz frequency band,” EAI International Conference on Body Area Networks, pp. 218-235, October 2019.

• C Baumbauer, J Ting, A Thielens, J Rabaey, AC Arias, “Towards Wireless Flexible Printed Wearable Sensors,” IEEE 8th International Workshop on Advances in Sensors and Interfaces (IWASI), June 2019.

• Arno Thielens, Carol Baumbauer, Matthew G Anderson, Jonathan Ting, Ana C Arias, Jan M Rabaey, “Feasability of On-Body Backscattering in the UHF-RFID Band using Screen-Printed Dipole Antennas,” 13th International Symposium on Medical Information and Communication Technology (ISMICT), pp 1-5, May 2019.

2018

• A Rahimi, TF Wu, H Li, JM Rabaey, HSP Wong, MM Shulaker, S Mitra, “Hyperdimensional computing nanosystem,”arXiv preprint arXiv:1811.09557, November 2018.

• Ali Moin, Andy Zhou, Abbas Rahimi, Simone Benatti, Alisha Menon, Senam Tamakloe, Jonathan Ting, Natasha Yamamoto, Yasser Khan, Fred Burghardt, Luca Benini, Ana C Arias, Jan M Rabaey, “An EMG Gesture Recognition System with Flexible High-Density Sensors and Brain-Inspired High-Dimensional Classifier,” IEEE International Symposium on Circuits and Systems (ISCAS), pp. 1-5, Florence, May 2018.

• JM Rabaey, “Homo Technologicus,” Proceedings International Symposium on VLSI Design, Automnation and Test, (KEYNOTE ADDRESS), Hsinchu, Taiwan, April 2018.

• Tony F Wu, Haitong Li, Ping-Chen Huang, Abbas Rahimi, Jan M Rabaey, H-S Philip Wong, Max M Shulaker, Subhasish Mitra, “Brain-inspired computing exploiting carbon nanotube FETs and resistive RAM: Hyperdimensional computing case study,” Proceedings 2018 IEEE International Solid-State Circuits Conference- (ISSCC), pp. 492-494, San Francisco, Febr. 2018.

• W Li, Y Duan, JM Rabaey, “A 200Mb/s inductively coupled wireless transcranial transceiver achieving 5e-11 BER and 1.5 pJ/b transmit energy efficiency,” Proceedings 2018 IEEE International Solid-State Circuits Conference- (ISSCC), pp. 290-292, San Francisco, Febr. 2018.

2017

• § F Lemic, V Handziski, I Azcarate, J Wawrzynek, J Rabaey, A Wolisz, “SLSR: A flexible middleware localization service architecture,” 2017 International Conference on Indoor Positioning and Indoor Navigation (IPIN), pp 1-8  Sept 2017.

• C. Sutardja and J. Rabaey, "Isolator-less near-field RFID reader for sub-cranial powering/data link of mm-sized implants," Proceedings 43rd European Solid State Circuit Conference, pp.372-375, September 2017.

• Alexander Bertrand, Dongjin Seo, Jose M Carmena, Michel M Maharbiz, Elad Alon, Jan M Rabaey, "Blind parallel interrogation of ultrasonic neural dust motes based on canonical polyadic decomposition: A simulation study,"   Proceedings 25th European Signal Processing Conference (EUSIPCO), pp. 2066-2070, August 2017.

• Andy Zhou, Samantha R. Santacruz, Benjamin C. Johnson, George Alexandrov, Ali Moin, Fred L. Burghardt, Jan M. Rabaey, Jose M. Carmena, Rikky Muller, "WAND: A 128-channel, closed-loop, wireless artifact-free neuromodulation device," arXiv:1708.00556, August 2017.

• F Lemic, V Handziski, M Miksa, J Rabaey, J Wawrzynek, A Wolisz, "Selection and aggregation of location information provisioning services," Proceedings 26th International Conference on Computer Communication and Networks (ICCCN), pp. 1-7, July 2017.

• Ameya  Patil, Naresh Shanbhag, Lav Varshney, Eric Pop, H-S Philip Wong, Subhasish Mitra, Jan Rabaey, Jeffrey Weldon, Larry Pileggi, Sasikanth Manipatruni, Dmitri Nikonov, Ian Young, "A Systems Approach to Computing in Beyond CMOS Fabrics, " Proceedings of the 54th Annual Design Automation Conference 2017, 18, Austin June 2017.

• A Moin, P Nuzzo, AL Sangiovanni-Vincentelli, JM Rabaey, "Optimized Design of a Human Intranet Network," Proceedings of the 54th Annual Design Automation Conference 2017, 30, Austin June 2017.

• D. Venuto, J. Rabaey, Design and characterization of a 65nm CMOS wireless RFID reader for ECoG tag, 2017 7th IEEE International Workshop on Advances in Sensors and Interfaces (IWASI),pp 9-14, June 2017.

• J. Rabaey, A. Rahimi, S. Datta, M. Rusch, P. Kanerva, B. Olshausen,  , “Human centric computing, The case for a hyperdimensional approach,” 017 7th IEEE International Workshop on Advances in Sensors and Interfaces (IWASI), pp 29, June 2017.

• Abbas Rahimi, Pentti Kanerva, José del R Millán, Jan M Rabaey, Hyperdimensional computing for noninvasive brain–computer interfaces: Blind and one-shot classification of EEG error-related potentials," 10th ACM/EAI International Conference on Bio-inspired Information and Communications Technologies (BICT), 2017. (Best paper award)

• Mohsen Imani; Abbas Rahimi; Deqian Kong; Tajana Rosing; Jan M. Rabaey, "Exploring hyperdimensional associative memory,"   in 2017 International Symposium on High Performance Computing Architecture, pp. 445-456, Febr. 2017.

• J. Rabaey et al, "Intelligent Machines: Will the technology signularity happen?," Proceedings IEEE International Solid State Circuits Conference, pp. 521-521, San Francisco, Febr. 2017.

2016

• Haitong Li, Tony F Wu, Abbas Rahimi, Kai-Shin Li, Miles Rusch, Chang-Hsien Lin, Juo-Luen Hsu, Mohamed M Sabry, S Burc Eryilmaz, Joon Sohn, Wen-Cheng Chiu, Min-Cheng Chen, Tsung-Ta Wu, Jia-Min Shieh, Wen-Kuan Yeh, Jan M Rabaey, Subhasish Mitra, H-S Philip Wong, "Hyperdimensional computing with 3D VRRAM in-memory kernels: Device-architecture co-design for energy-efficient, error-resilient language recognition, " 2016 IEEE International Electron Devices Meeting (IEDM), December 2016.

• Abbas Rahimi, Simone Benatti, Pentti Kanerva, Luca Benini, and Jan M. Rabaey, “Hyperdimensional Biosignal Processing: A Case Study for EMG-based Hand Gesture Recognition,” in IEEE International Conference on Rebooting Computing (ICRC), October 2016.

• Filip Lemic, Vlado Handziski, Nitesh Mor, Jan Rabaey, John Wawrzynek, Adam Wolisz, "Toward standardized localization service, " 2016 International Conference onIndoor Positioning and Indoor Navigation (IPIN), Ocober 2016. 

,• Abbas Rahimi, Pentti Kanerva, and Jan M. Rabaey, “A Robust and Energy-Efficient Classifier Using Brain Hyperdimensional Computing,” in ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED), August 2016. 

• Ali Moin, George Alexandrov, Benjamin C Johnson, Igor Izyumin, Fred Burghardt, Kedar Shah, Sat Pannu, Elad Alon, Rikky Muller, Jan M Rabaey, "Powering and communication for OMNI: A distributed and modular closed-loop neuromodulation device," 2016 IEEE 38th Annual International Conference of theEngineering in Medicine and Biology Society (EMBC), August 2016. 

• J. Rabaey, "Brain-inspired interconnect architectures and technologies," 2016 IEEE International Interconnect Technology Conference/Advanced  Metallization Conference (IITC/AMC), pp 1-42, May 2016.

• A Bertrand, D Seo, JM Carmena, MM Maharbiz, E Alon, J. Rabaey, Application of canonical polyadic decomposition for ultrasonic interrogation of neural dust grids: a simulation study, Proc. Workshop on Tensor Decompositions and, January 2016.

2015

• NS Kim, JM Rabaey, A 3.1-10.6 GHz wavelet-based dual-resolution spectrum sensing with harmonic rejection mixers, Proceedings European Solid-State Circuits Conference (ESSCIRC), pp 303-306, Graz, September 2015.

• D Seo, HY Tang, JM Carmena, JM Rabaey, E Alon, B. Boser, MM Maharbiz, - Ultrasonic beamforming system for interrogating multiple implantable sensors, in Proc. 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), pp 2673-2676, August 2015.

• JM Rabaey,  Brain-Machine Interfaces - The core of the human intranet, 6th International Conference on Advances in Sensors and Interfaces (IWASI), pp 113-114, June 2015.

• NS Kim, JM Rabaey, A 1Gb/s energy efficient triple-channel UWB-based cognitive radio., Proc. 2015 Symposium on VLSI Circuits (VLSI Circuits), pp96-97, June 2015.

• NS Kim, JM Rabaey, A 3-10mW, 3.1-10.6 GHz integer-N QPLL with reference spur reduction technique for UWB-based cognitive radios, Proc. Radio Frequency Integrated Circuits Symposium (RFIC) , pp 67-70, May 2015.

• L Costa, P Calcina, J Rabaey, M Zuffo, A Wolisz,  Semantic swarm, Proceedings of the Second International Workshop on  the Swarm at the Edge of the Cloud, pp 34-41, April 2015.

• D Graff, D Röhrig, R Jasper, H Parzyjegla, J Rabaey, Operating System Support for Mobile Robot Swarms, Proc. Second International Workshop on the Swarm at the Edge of the Cloud, pp 34-41, April 2015.

• K Ganesan, P Grover, J Rabaey, A Goldsmith, Towards approaching total-power-capacity: Transmit and decoding power minimization for LDPC codes, CoRR, vol. abs/1504.01019, April, 2015.

• JM Rabaey,  The human intranet: where swarms and humans meet, Proceedings of the 2015 Design, Automation & Test in Europe, pp.637-640, Grenoble, March 2015.

• C Salazar, A Kaiser, A Cathelin, J Rabaey,  13.5 97dBm-sensitivity interferer-resilient 2.4 GHz wake-up receiver using dual-IF multi-N-Path architecture in 65nm CMOS, Proc. IEEE International Solid-State Circuits Conference (ISSCC), pp. 1-3, Febr. 2015.

2014

• Bertrand, Alexander, Dongjin Seo, Filip Maksimovic, Jose M. Carmena, Michel M. Maharbiz, Elad Alon, and Jan M. Rabaey. "Beamforming Approaches for Untethered, Ultrasonic Neural Dust Motes for Cortical Recording: a Simulation Study." In Proc. International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC). August 2014.

• E. Moradi, S. Amendola,  T. Björninen, L. Sydänheimo, L.Ukkonen, J. Carmena, J. M. Rabaey,  Wireless testing of ink-jet printed mm-size gold implant antennas for brain-machine interfaces, In proceeding of: IEEE International Symposium on Antennas and Propagation, 2014.

• Yeager, D.; Biederman, W.; Narevsky, N.; Leverett, J.; Neely, R.; Carmena, J.; Alon, E.; Rabaey, J., "A 4.78mm2 fully-integrated neuromodulation SoC combining 64 acquisition channels with digital compression and simultaneous dual stimulation," VLSI Circuits Digest of Technical Papers, 2014 Symposium on , pp.1-2, June 2014.

• S. Amendola, E. Moradi, K. Koski, T. Björninen, L. Sydänheimo, L.Ukkonen, J. M. Rabaey, Y. Rahmat-Samii, "Design and optimization of mm-size implantable and wearable on-body antennas for biomedical systems," in European Conference on Antennas Propagation Digest, The Hague, Netherlands,  April 2014. 

• De Venuto, D.; Ledochowitsch, P.; Maharabitz, M.; Rabaey, J., "" class="linkStyle_92">Impedance modeling of the intracortical microelectrode for a reliable design of a brain activity recording system," Quality Electronic Design (ISQED), 2014 15th International Symposium on , vol., no., pp.380-385, March 2014.

• Muller, Rikky, Hanh-Phuc Le, Wen Li, Peter Ledochowitsch, Simone Gambini, Toni Bjorninen, Aaron Koralek et al. "A minitiuraized 64-channel 225 uW wireless electrocorticographic neural sensor." In Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2014 IEEE International, pp. 412-413. IEEE, February 2014.

2013

• T. Björninen, E. Moradi, L. Sydänheimo, J. M. Carmena, J. M. Rabaey, L. Ukkonen, “Electromagnetic modeling and measurement of antennas for wireless brain-machine interface systems,” IEEE MTT-S Intl. Microwave Workshop Series on RF and Wireless Technologies for Biomedical and Healthcare Applications digest, Singapore, Dec. 9-11, 2013.

• NS Kim, JM Rabaey,  A 0.2 to 1.7 GHz low-jitter integer-N QPLL for power efficient direct digital RF modulator, Solid-State Circuits Conference (A-SSCC), 2013 IEEE Asian, pp. 329-332, Nov. 2013.

• A Borna, M Takamiya, JM Rabaey, The path towards energy-efficient inference engine architectures on scaled and beyond-CMOS fabrics, Energy Efficient Electronic Systems (E3S), 2013 Third Berkeley Symposium on, pp. 1-2, Nov. 2013.

• T Björninen, E Moradi, K Koski, L Sydänheimo, L Ukkonen, R Muller, P. Ledochowitsch, Jan M Rabaey, Yahya Rahmat-Samii, Wearable and implantable antennas for wireless body-centric sensing systems, Proceedings of the 8th International Conference on Body Area Networks, pp. 288-288, Sept. 2013.

• D De Venuto, J Rabaey, Data communication and power system for wireless neural recording, IEEE 18th Conference on Emerging Technologies & Factory Automation (ETFA), pp 1-4,  Sept 2013. 

• Chu, P. ; Muller, R. ; Koralek, A. ; Carmena, J.M. ; Rabaey, J.M. ; Gambini, S., Equalization for intracortical microstimulation artifact reduction, Engineering in Medicine and Biology Society (EMBC), 2013 35th Annual International Conference of the IEEE, pp. 245-248, San Diego, July 2013.

• E. Moradi, T. Björninen, L. Sydänheimo, L. Ukkonen, J. M. Rabaey, “Antenna design for implanted tags in wireless brain machine interface system,” in IEEE Antennas and Propagation Society International Symposium digest, Orlando, FL, USA., 7-13 Jul., 2013.

• JM Rabaey, The innovation is in the minds, Advances in Sensors and Interfaces (IWASI), 2013 5th IEEE International Workshop on, pp.1, June 2013. (keynote presentation)

• D De Venuto, JM Rabaey, Wireless powering and data communication for neural implantable electrodes, Advances in Sensors and Interfaces (IWASI), 2013 5th IEEE International Workshop on, pp.148-153, June 2013.

• W Zhou, J Rabaey, Energy detection technique for ultra-low power high sensitivity wake-up receiver, Circuits and Systems (ISCAS), 2013 IEEE International Symposium on, pp. 1857-1860, May 2013.

• E Moradi, T Bjorninen, L Sydanheimo, L Ukkonen, JM Rabaey, Analysis of wireless powering of mm-size neural recording tags in RFID-inspired wireless brain-machine interface systems, RFID (RFID), 2013 IEEE International Conference on, pp. 8-15, April 2013.

• M Casale-Rossi, A Sangiovanni-Vincentelli, L Carloni, B Courtois, H De Man, Antun Domic, Jan M Rabaey, Panel: the heritage of Mead & Conway: what has remained the same, what was missed, what has changed, what lies ahead, Proceedings of the Conference on Design, Automation and Test in Europe, 171-175, March 2013.

2012

• Ganesan, K. ; Yang Wen ; Grover, P. ; Goldsmith, A. ; Rabaey, J., “Choosing green codes by simulation-based modeling of implementations,” Proceedings 2012 IEEE GLOBECOM Conference, pp. 3286-3292, December 2012.

• Biederman, W.; Yeager, D.; Alon, E.; Rabaey, J., "A CMOS switched-capacitor fractional bandgap reference," Custom Integrated Circuits Conference (CICC), 2012 IEEE , vol., no., pp.1,4, 9-12 Sept. 2012.

• Richmond, J.; John, M.; Alarcon, L.; Zhou, W.; Li, W.; Liu, T.; Alioto, M.; Sanders, S.R.; Rabaey, J.M., "Active RFID: Perpetual wireless communications platform for sensors,"  Proceedings of the 2012 ESSCIRC Conference, pp.434-437, Sept. 2012.

• Bjorninen, T.; Muller, R.; Ledochowitsch, P.; Sydanheimo, L.; Ukkonen, L.; Rabaey, J., "Antenna design for wireless electrocorticography," Antennas and Propagation Society International Symposium (APSURSI), 2012 IEEE , vol., no., pp.1,2, 8-14 July 2012.

• Tsung-Te Liu; Rabaey, J.M., "A 0.25V 460nW asynchronous neural signal processor with inherent leakage suppression," VLSI Circuits (VLSIC), 2012 Symposium on , vol., no., pp.158,159, 13-15 June 2012.

• Majidzadeh, V.; Schmid, A.; Leblebici, Y.; Rabaey, J., "An 8-PPM, 45 pJ/bit UWB transmitter with reduced number of PA elements," VLSI Circuits (VLSIC), 2012 Symposium on , vol., no., pp.36,37, 13-15 June 2012.

• Yeager, D.; Biederman, W.; Narevsky, N.; Alon, E.; Rabaey, J., "A fully integrated 10.5uW miniaturized (0.125mm2) wireless neural sensor," VLSI Circuits (VLSIC), 2012 Symposium on , vol., no., pp.72,73, 13-15 June 2012

• Tsung-Te Liu; Rabaey, J.M., "Statistical Analysis and Optimization of Asynchronous Digital Circuits," Asynchronous Circuits and Systems (ASYNC), 2012 18th IEEE International Symposium on , vol., no., pp.1,8, 7-9 May 2012.

• Alioto, M.; Consoli, E.; Rabaey, J., "EChO power management unit with reconfigurable switched-capacitor converter in 65 nm CMOS," Custom Integrated Circuits Conference (CICC), 2012 IEEE , vol., no., pp.1,4, 9-12 Sept. 2012.

2011

• J. Rabaey, Swarms Visions, Proceedings ICON 2012, Singapore, December 2011. (KEYNOTE ADDRESS)

• Ganesan, K. ; Grover, P. ; Rabaey, J. , ”The power cost of over-designing codes,” Proceedings 2011 IEEE Workshop on Signal Processing Systems (SIPS), pp 128-133, Oct. 2011.

• Huang, P.C.; Macii, D., Rabaey J.; “An information-theoretic framework for joint architectural and circuit level optimization for olfactory recognition processing,” Proceedings 2011 IEEE Workshop on Signal Processing Systems (SIPS), pp 128-133, Oct. 2011.

• Grover, P. ; Goldsmith, A. ; Sahai, A. ; Rabaey, J. , “Information theory meets circuit design: Why capacity-approaching codes require more chip area and power,” Proc. 49th Annual Allerton Conference on Communication, Control and Computation, pp. 1392-1399, Sept. 2011.

• J. Rabaey, Brain-machine interfaces as the new frontier in extreme miniaturization, 2011 Proceedings of the European Solid-State Circuits Conference (ESSCIRC), pp 19-24, Sept. 2011. (KEYNOTE ADDRESS)

• S Gambini, L De Nardis, E Alon, JM Rabaey, Interference robust self-mixing UWB systems using phase-domain spreading, 2011 IEEE International Conference on Ultra-Wideband (ICUWB), Spp.44-48, Sept. 2014.

• J. Rabaey, The Swarm at the edge of the cloud - The New Face of Wireless, Proceedings 2011 Symposium on VLSI Circuits, pp. 6-8, Kyoto, June 2011. (KEYNOTE ADDRESS)

• Mark, M.; Chen, Y.; Sutardja C.; Tang, C.; Gowda, S.; Wagner, M.; Werthimer, D.; Rabaey, J.M.; “A 1mm3 2Mbps 330fJ/b Transponder for Implanted Neural Sensors,” Proceedings 2011 Symposium on VLSI Circuits, pp. 168-171, Kyoto, June 2011.

• J. Richmond, J. Rabaey, Digital energy detection for OOK demodulation in ultra-low power radios, Proceedings 2011 IEEE Conference on Circuits and Systems (ISCAS), pp. 1808-1811, Rio de Janeiro, May 2011. 

• TT. Liu, J. Rabaey, Linearity analysis of CMOS passive mixer, Proceedings 2011 IEEE Conference on Circuits and Systems (ISCAS), pp. 2833-2836, Rio de Janeiro, May 2011.

• L. Alarcon, TT. Liu, J. Rabaey, A low-leakage parallel CRC generator for ultra-low power applications, Proceedings 2011 IEEE Conference on Circuits and Systems (ISCAS), pp. 2063-2066, Rio de Janeiro, May 2011.

• Yazici, V.; Parsa, A.; Burghardt, F.L.; Rabaey, J.M.; Wolisz, A.; Ercan, A.O., Library support for Connectivity Brokerage, Proceedings IEEE Signal Processing and Communications Applications (SIU), pp. 1117-1120, April 2011.

• Rabaey, J.M.; Mark, M.; Chen, D.; Sutardja, C.; Chongxuan Tang; Gowda, S.; Wagner, M.; Werthimer, D.; Powering and Communicating with mm-size Implants, Proceedings DATE 2011, pp. 1-6, Grenoble, March 2011.

• Grover, P. ; Sahai, A. ; Ganesan, K. ; Rabaey, J., Green codes with short wires at the decoder: Fundamental limits and constructions, Proceedings Information Theory and Applications Workshop (ITA), pp. 1-4, February 2011.

• Rabaey, J.; DeMan, H.; Horowitz, M.; Sakurai, T.; Sun, J.; Dobberpuhl, D.; Itoh, K.; Magarshack, P.; Abidi, A.; Eul, H.;,  Beyond the horizon: The next 10x reduction in power - Challenges and solutions, IEEE Solid-State Circuits Conference Digest of Technical Papers (ISSCC), pp. 31, San Francisco, Febr. 2011. 

• R. Muller, S. Gambini, J. Rabaey., A 0.013mm2, 5 UW, DC Coupled Neural Signal Acquisition IC with 0.5V Supply, IEEE Solid-State Circuits Conference Digest of Technical Papers (ISSCC), pp. 302-304, San Francisco, February 2011.

• Mark, M.; Bjorninen, T.; Ukkonen, L.; Sydanheimo, L.; Rabaey, J.M.; SAR reduction and link optimization for mm-size remotely powered wireless implants using segmented loop antennas, Proceedings 2011 IEEE Topical Conference on Biomedical Wireless Technologies, Networks, and Sensing Systems (BioWireleSS), Page(s): 7 – 10, January 2011.

• A Parsa, FL Burghardt, JM Rabaey, A Wolisz, Ali Özer Ercan, Bağlanırlık aracılığı için kütüphane desteği, 2011 IEEE 19th Signal Processing and Communications Applications Conference (SIU), Jan 2011.

2010

• Kenichi Agawa, Massimo Alioto, Wenting Zhou, Tsung-Te Liu, Jan Rabaey, Design and Verification of an Ultra-Low-Power Active RFID Tag with Multiple Power Domains, Proceedings 2010 Sasimi workshop, Taipei, Oct. 2010.

• Michael Mark, Toni Bjorninen, David Chen, Subramaniam Venkatraman, Jose M. Carmena, Leena Ukkonen, Lauri Sydaenheimo, Jan Rabaey, Wireless Channel Characterization for mm-Size Neural Implants, 32nd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, IEEE-EMBS, September, 2010.

• Simone Gambini, John Crossley, Elad Alon, J Rabaey, A fully integrated, 300pJ/bit, dual mode wireless transceiver for cm-range interconnects, 2010 IEEE Symposium on VLSI Circuits (VLSIC), pp 31-32, June 2010.

• Chang-Ching Wu, Xuening Sun, Alberto Sangiovanni-Vincentelli, Jan Rabaey, “A 2.2mW CMOS LNA for 6-8.5GHz UWB Receivers,” The 2010 IEEE International Symposium on Circuits and Systems (ISCAS 2010), May, 2010.

• J. Rabaey, Always energy-optimal microscopic wireless systems, Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 658, March 2010.

• Parsa, A.; Ercan, A.O.; Malagon, P.; Burghardt, F.; Rabaey, J.M.; Wolisz, A., “Connectivity Brokerage: From coexistence to collaboration,” Proceedings 2010 Radio and Wireless Symposium, pp. 488-491, January, 2010.

2009

• Christine Ho, Michael Mark, Mike Koplow, Lindsay Miller, Alic Chen, Elizabeth Reilly, Jan Rabaey, James Evans, Paul Wright. Technologies for an Autonomous Wireless Home Healthcare System, Proceedings of the Sixth International Workshop on Wearable and Implantable Body Sensor Networks, 29-34, June, 2009.

• Michael Mark, Jan Rabaey, "A 13.2 mW 1.9 GHz Interpolative BAW-based VCO for Miniaturized RF Frequency Synthesis," Proceedings IEEE International Symposium on Circuits and Systems (ISCAS), May 2009.

• J. Rabaey, “Microscopic Wireless - Exploring the Boundaries of Ultra-Low Power Design,” International Symposium on VLSI Design, Automation and Test, VLSI-DAT '09, April 2009, Page(s):4 - 4. (KEYNOTE ADDRESS)

• J. Rabaey, “The standby power challenge: Wake-up receivers to the rescue,” International Symposium on VLSI Technology, Systems, and Applications, VLSI-TSA '09, April 2009 Page(s):42 - 42. 

• Kumar, Animesh; Rabaey, Jan; Ramchandran, Kannan; "SRAM supply voltage scaling: A reliability perspective," Proceedings Quality of Electronic Design ISQED, pp. 782-787, March 2009 .

2008

• Kuehlmann, Andreas; Aitken, Rob; Bautista, Jerry; Maly, Wojceich; Rabaey, Jan; "More Moore: Foolish, feasible, or fundamentally different?", International Conference on Computer-Aided Design, ICCAD 2008, Nov. 2008 Page(s):xiii - xiii. (PANEL)

• Rabaey, J.M.; "Computing at the Crossroads (And What Does it Mean to Verification and Test?", IEEE International Test Conference, ITC 2008, Oct. 2008, Page(s):13 - 13. (KEYNOTE ADDRESS)

• Chen, S.Y.-S.; Nam-Seog Kim; Rabaey, J.M.; "Multi-mode sub-Nyquist rate digital-to-analog conversion for direct waveform synthesis," IEEE Workshop on Signal Processing Systems, SiPS 2008, Oct. 2008 Page(s):112 - 117.

• Ercan, A.O.; Jiwoong Lee; Pollin, S.; Rabaey, J.M.; "A Revenue Enhancing Stackelberg Game for Owners in Opportunistic Spectrum Access," 3rd IEEE Symposium on New Frontiers in Dynamic Spectrum Access Networks, DySPAN 2008, Oct. 2008 Page(s):1 - 8.

• Seeman, M.D.; Sanders, S.R.; Rabaey, J.M.; "An ultra-low-power power management IC for energy-scavenged Wireless Sensor Nodes," Power Electronics Specialists Conference, 2008. PESC 2008. IEEE, 15-19 June 2008 Page(s):925 - 931. (BEST PAPER AWARD)

• Yuen Hui Chee; Koplow, M.; Mark, M.; Pletcher, N.; Seeman, M.; Burghardt, F.; Steingart, D.; Rabaey, J.; Wright, P.; Sanders, S.; "PicoCube: A 1cm3 sensor node powered by harvested energy," 45th ACM/IEEE Design Automation Conference, DAC 2008, June 2008 Page(s):114 - 119. (DESIGN CONTEST WINNER)

• Rey, J.C.; Rabaey, J.; Kuehlmann, A.; Conroy, C.; Kawasaki, I.; Tarim, T.B.; Vucurevich, T.; "Next-generation wireless multimedia devices - who is up for the challenge?," 45th ACM/IEEE Design Automation Conference, DAC 2008, June 2008, Page(s):353 - 354. (PANEL)

• Yanmei Li; Chang-Ching Wu; Sangiovanni-Vincentelli, A.; Rabaey, J.M.; "Design and Optimization of an MB-OFDM Ultra-Wideband Receiver Front-End," 4th IEEE International Conference on Circuits and Systems for Communications, ICCSC 2008, May 2008, Page(s):502 - 506.

• Tsung-Te Liu; Alarcon, L.P.; Pierson, M.D.; Rabaey, J.M.; "Asynchronous Computing in Sense Amplifier-Based Pass Transistor Logic," 14th IEEE International Symposium on Asynchronous Circuits and Systems, ASYNC '08, April 2008 Page(s):105 - 115.

• Yanmei Li; Rabaey, J.M.; Sangiovanni-Vincentelli, A.; "Analysis of Interference Effects in MB-OFDM UWB Systems," IEEE Wireless Communications and Networking Conference, WCNC 2008, April 2008 Page(s):165 - 170.

• Rabaey, J.M.; "A Brand New Wireless Day," Proceedings Asia and South Pacific Design Automation Conference, ASPDAC 2008,  Jan. 2008, Page(s):1 - 1. (KEYNOTE ADDRESS)

• Kumar, A.; Huifang Qin; Ishwar, P.; Rabaey, J.; Ramchandran, K.; "Fundamental Data Retention Limits in SRAM Standby Experimental Results," Proceedings 9th International Symposium on Quality Electronic Design, ISQED 2008, March 2008, Page(s):92 - 97.

• Huifang Qin; Kumar, A.; Ramchandran, K.; Rabaey, J.; Ishwar, P.; "Error-Tolerant SRAM Design for Ultra-Low Power Standby Operation," Proceedings 9th International Symposium on Quality Electronic Design, ISQED 2008, March 2008, Page(s):30 - 34.

• Pletcher, N.M.; Gambini, S.; Rabaey, J.M.; "A 2GHz 52uW Wake-Up Receiver with -72dBm Sensitivity Using Uncertain-IF Architecture," Digest of Technical Papers International Solid-State Circuits Conference, ISSCC 2008, Feb. 2008 Page(s):524 - 633.

• Van Greunen, J.; Rabaey, J.; "Content Management and Replication in the SNSP: A Distributed Service-Based OS for Sensor Networks," IEEE 5th Consumer Communications and Networking Conference, CCNC 2008, Jan. 2008 Page(s):655 - 659.

2007

• Rabaey, J.; Burghardt, F.; Steingart, D.; Seeman, M.; Wright, P.; "Energy Harvesting - A Systems Perspective," Proceedings IEEE International Electron Devices Meeting, IEDM 2007, Dec. 2007, Page(s):363 - 366.

• Pletcher, N.; Gambini, S.; Rabaey, J.; "A 65W, 1.9 GHz RF to Digital Baseband Wakeup Receiver for Wireless Sensor Nodes," Proceedings Custom Integrated Circuits Conference, CICC '07, Sept. 2007, Page(s):539 - 542.

• Gambini, S.; Rabaey, J.;  "A 100KS/s 65dB  Σ — Δ ADC with 0.65V supply voltage," 33rd European Solid State Circuits Conference, ESSCIRC, Sept. 2007, Page(s):202 - 205. 

• Guermandi, D.; Gambini, S.; Rabaey, J.; "A 1 V 250 KPPS 90 NM CMOS pulse based transceiver for CM-range wireless communication," 33rd European Solid State Circuits Conference,  ESSCIRC 2007, Sept. 2007 Page(s):135 - 138.

• Seeman, M.D.; Sanders, S.R.; Rabaey, J.M.; "An Ultra-Low-Power Power Management IC for Wireless Sensor Nodes," Proceedings Custom Integrated Circuits Conference  CICC '07, Sept. 2007 Page(s):567 - 570.

• Rabaey, J.M.; Chee, Y.H.; Chen, D.; de Nardis, L.; Gambini, S.; Guermandi, D.; Mark, M.; Pletcher, N.; "Short Distance Wireless, Dense Networks and Their Opportunities," 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools, 2007. DSD 2007. 29-31 Aug. 2007 Page(s):7 - 7. 

• Rabaey, J.M.; "Design Without Borders," Digital System Design Architectures, Methods and Tools, 2007. DSD 2007. 10th Euromicro Conference on 29-31 Aug. 2007 Page(s):3 - 3. (KEYNOTE ADDRESS)

• Rabaey, Jan M.; "Design without Borders - A Tribute to the Legacy of A. Richard Newton," Design Automation Conference, 2007. DAC '07. 44th ACM/IEEE 4-8 June 2007 Page(s):xiii - xiii. (KEYNOTE ADDRESS)

• Kumar, A.; Qin, H.; Ishwar, P.; Rabaey, J.; Ramchandran, K.; "Fundamental Bounds on Power Reduction During Data-Retention in Standby SRAM," IEEE International Symposium On Circuits and Systems, 2007. ISCAS 2007. 27-30 May 2007 Page(s):1867 - 1870.

• Kumar, A.; Qin, H.; Ishwar, P.; Rabaey, J.; Ramchandran, K.; "Fundamental Redundancy Versus Power Trade-Off in Standby SRAM," IEEE International Conference On Acoustics, Speech and Signal Processing, ICASSP 2007, Volume 2, April 2007 Page(s):II-85 - II-88.

• Soini, M.N.K.; Van Greunen, J.; Rabaey, J.M.; Sydanheimo, L.T.; "Beyond Sensor Networks: ZUMA Middleware," Wireless Communications and Networking Conference, 2007.WCNC 2007. IEEE, 11-15 March 2007 Page(s):4318 - 4323.

• Zhenyu Qi; Ziegler, M.; Kosonocky, S.V.; Rabaey, J.M.; Stan, M.R.; "Multi-Dimensional Circuit and Micro-Architecture Level Optimization," 8th International Symposium On Quality Electronic Design, 2007. ISQED '07. 26-28 March 2007 Page(s):275 - 280.

2006

• Gambini, Simone; Rabaey, Jan; "A 1.5MS/s 6-bit ADC with 0.5V supply," IEEE Asian Solid-State Circuits Conference, ASSCC 2006, Nov. 2006, Page(s):47 - 50.

• Rabaey, J.M.; "Short Distance Wireless and Its Opportunities," Design, Applications, Integration and Software, 2006 IEEE Dallas/CAS Workshop on Oct. 2006 Page(s):1 - 8.

• Josephine Ammer and Jan Rabaey, "The Energy-per-Useful-Bit Metric for Evaluating and Optimizing Sensor Network Physical Layers," Proceedings of the IEEE International Workshop on Wireless Ad Hoc & Sensor Networks, Sept. 2006.

• Jana Van Gruenen, Yury Markovsky, Chris R. Baker, Adam Wolisz, Jan Rabaey, and John Wawrzynek. "ZUMA: A Platform for Smart-Home Environments, The Case for Infrastructure." In Proceedings of IET Intelligent Environments. Athens, Greece. July, 2006.

• Chris R. Baker, Yury Markovsky, Jana Van Gruenen, Adam Wolisz, Jan Rabaey, and John Wawrzynek, "ZUMA: A Platform for Smart-Home Environments", In Proceedings of IET Intelligent Environments. Athens, Greece. July, 2006.

• Chee, Y; Niknejad, A; Rabaey, J.; "A 46% Efficient 0.8dBm Transmitter for Wireless Sensor Networks," Digest of Technical Papers 2006 Symposium on VLSI Circuits, June 15-17, 2006, Page(s):43 - 44.

• Sheets, M.; Burghardt, F.; Karalar, T.; Ammer, J.; Chee, Y.; Rabaey, J, "A Power-Managed Protocol Processor for Wireless Sensor Networks,"  Digest of Technical Papers 2006 Symposium on VLSI Circuits, June 15-17, 2006,  Page(s):212 - 213.

• Karalar, T.C.; Rabaey, J., "An RF ToF Based Ranging Implementation for Sensor Networks," 2006 IEEE International Conference on Communications,  Volume 7, June 2006, Page(s):3347 - 3352 

• Marculescu, R.; Rabaey, J.; Sangiovanni-Vincentelli, A. "Is 'Network' the next 'Big Idea' in Design?," Design, Automation and Test in Europe, 2006. DATE '06. Proceedings, Volume 1, 06-10 March 2006 Page(s):1 - 3. 

2005

• Lin, E.-Y.A.; Rabaey, J.M.; Wiethoelter, S.; Wolisz, A., "Receiver initiated rendezvous schemes for sensor networks," Global Telecommunications Conference, 2005. GLOBECOM '05. IEEE Volume 5, 28 Nov.-2 Dec. 2005 Page(s):6 pp.

• Petrovic, D.; Ramchandran, K.; Rabaey, J., "Throughput of wireless networks of untuned radios," 7th International Conference on Telecommunications in Modern Satellite, Cable and Broadcasting Services, 2005. , Volume 2, 28-30 Sept. 2005, Page(s):339 - 343 vol. 2. 

• Pletcher, N.M.; Rabaey, J.M., "A 100 uW, 1.9GHz oscillator with fully digital frequency tuning," Proceedings of the 31st European Solid-State Circuits Conference, ESSCIRC 2005, 2-16 Sept. 2005 Page(s):387 - 390 

• Li, Y.; De Bernardinis, F.; Otis, B.; Rabaey, J.M.; Vincentelli, A.S., "Low-power mixed-signal baseband system design for wireless sensor networks," Proceedings of the IEEE Custom Integrated Circuits Conference, 18-21 Sept. 2005 Page(s):55 - 58 

• Chee, Y.H.; Niknejad, A.M.; Rabaey, J. "An ultra-low power injection locked transmitter for wireless sensor networks," Proceeding of the IEEE Custom Integrated Circuits Conference, 2005,  18-21 Sept. 2005 Page(s):797 - 800. 

• F. Bacchini, J.M. Rabaey, A. Cox, F. Lane, R. Lauwereins, U. Ramacher, and D. Witt, Wireless platforms: GOPS for cents and MilliWatts, Proceedings of the 42nd Design Automation Conference, pp. 351-352, June 2005. (PANEL)

• Petrovic, D.; Ramchandran, K.; Rabaey, J., "Coding for sensor networks using untuned radios ," IEEE 6th Workshop on Signal Processing Advances in Wireless Communications, pp. 1093-1097,  June  2005.

• Chee, Y.H.; Niknejad, A.M.; Rabaey, J., "A sub-100 uW 1.9-GHz CMOS oscillator using FBAR resonator," Digest of Papers.  IEEE Radio Frequency integrated Circuits (RFIC) Symposium, 2005, pp. 123-126, June 2005. 

• Shah, R.C.; Wolisz, A.; Rabaey, J.M., "On the performance of geographical routing in the presence of localization errors,"  IEEE International Conference on Communications,  ICC 2005, Volume 5, pp. 2979 - 2985, May 2005.

• Friedberg, P.; Cao, Y.; Cain, J.; Wang, R.; Rabaey, J.; Spanos, C., "Modeling within-die spatial correlation effects for process-design co-optimization," Sixth International Symposium on Quality of Electronic Design,  ISQED 2005,  pp. 516-521,  March 2005.

• Shah, R.C.; Wietholter, S.; Wolisz, A.; Rabaey, J.M., "When does opportunistic routing make sense?," Third IEEE International Conference on Pervasive Computing and Communications, PerCom 2005 Workshops. T 8-12, pp 350-356, March 2005. 

• Ammer, J.; Rabaey, J., "Low power synchronization for wireless sensor network modems," IEEE Wireless Communications and Networking Conference, WCNC 2005, Volume 2, pp670-675, March 2005. 

• Zhong, L.C.; Rabaey, J.M.; Wolisz, A., "Does proper coding make single hop wireless sensor networks reality: the power consumption perspective," IEEE Wireless Communications and Networking Conference, WCNC 2005, Volume 2, pp. 664-669,  March 2005.

• P. Friedberg, Y. Cao, J. Cain, R. Wang, J.M. Rabaey, and C. Spanos, Modeling within-die spatial correlation effects for process-design co-optimization, Proceedings of SPIE – Int. Soc. Opt. Eng., pp. 178-188, Febr. 2005.

• Otis, B.; Chee, Y.H.; Rabaey, J, "A 400 uW-RX, 1.6mW-TX superregenerative transceiver for wireless sensor networks," Digest of Technical Papers, IEEE International Solid-State Circuits Conference, 2005, pp. 396 - 398, Febr. 2005.

• Rabaey, J.M., "Design at the end of the silicon roadmap," Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC), 2005, Volume 1, 18-21, Shanghai, Jan. 2005. (KEYNOTE ADDRESS)

2004

• Shah, R.C.; Bonivento, A.; Petrovic, D.; Lin, E.; van Greunen, J.; Rabaey, J., "Joint optimization of a protocol stack for sensor networks," IEEE Military Communications Conference MILCOM 2004. Volume 1, 31, pp 480-486,  Nov. 2004.

• Karalar, T.C.; Yamashita, S.; Sheets, M.; Rabaey, J., "A low power localization architecture and system for wireless sensor networks," IEEE Workshop on Signal Processing Systems, SIPS 2004., pp. 89-94, Oct. 2004.

• Ammer, M.J.; Rabaey, J., "Frequency offset estimation with improved convergence time and energy consumption," 2004 IEEE Eighth International Symposium on Spread Spectrum Techniques and Applications, pp. 596-600, Sept. 2004.

• Karalar, T.C.; Yamashita, S.; Sheets, M.; Rabaey, J., "An integrated, low power localization system for sensor networks," Mobiquitous 2004, The First Annual International Conference on Mobile and Ubiquitous Systems: Networking and Services, pp. 24-30,  Aug. 22-26, 2004.

• Vladimirescu, A.; Yu Cao; Thomas, O.; Huifang Qin; Markovic, D.; Valentian, A.; Ionita, R.; Rabaey, J.; Amara, A., "Ultra-low-voltage robust design issues in deep-submicron CMOS," The 2nd Annual IEEE Northeast Workshop on Circuits and Systems,  NEWCAS 2004, pp.49-52, Montreal, June 2004.

• Zhong, L.C.; Rabaey, J.M.; Wolisz, A., "An integrated data-link energy model for wireless sensor networks," 2004 IEEE International Conference on Communications, Volume 7, pp. 3777 - 3783 , Paris, June 2004.

• Van Greunen, J.; Petrovi, D.; Bonivento, A.; Jan Rabaey; Ramchandran, K.; Sangiovanni-Vincentelli, A., "Adaptive sleep discipline for energy conservation and robustness in dense sensor networks," 2004 IEEE International Conference on Communications, Volume 6, pp. 3657 - 3662, Paris, June 2004.

• Lin, E.A., Rabaey, J.M. Wolisz, A., "Power-efficient rendez-vous schemes for dense wireless sensor networks," 2004 IEEE International Conference on Communications, Volume 7, pp. 3769 - 3776, Paris, June 2004.  

• Otis, B.P.; Chee, Y.H.; Lu, R.; Pletcher, N.M.; Rabaey, J.M., "An ultra-low power MEMS-based two-channel transceiver for wireless sensor networks," Digest of Technical Papers. 2004 Symposium on VLSI Circuits, 2004, pp. 20 - 23, 17-19 June 2004. 

• Chee, Y.H.; Rabaey, J.; Niknejad, A.M., "A class A/B low power amplifier for wireless sensor networks," ISCAS '04. Proceedings of the 2004 International Symposium on Circuits and Systems, Volume: 4, pp. IV - 409-12 Vol.4,  May 2004.

• Huifang Qin; Yu Cao; Markovic, D.; Vladimirescu, A.; Rabaey, J., "SRAM leakage suppression by minimizing standby supply voltage," Proceedings. 5th International Symposium on Quality Electronic Design, 2004, April 2004.

2003

• Yu Cao; Huifang Qin; Wang, R.; Friedberg, P.; Vladimirescu, A.; Rabaey, J.; "Yield optimization with energy-delay constraints in low-power digital circuits," 2003 IEEE Conference on Electron Devices and Solid-State Circuits, pp. 285 - 288 ,  Dec. 2003.

• S. Roundy, B. Otis, Y.H. Chee, J. Rabaey, P. Wright, "A 1.9GHz RF Transmit Beacon using Environmentally Scavenged Energy," Digest IEEE Int.Symposium on Low Power Elec. and Devices, Seoul, Korea, Sept. 2003.

• J. Van Greunen, J. Rabaey, "Lightweight Time Synchronization for Sensor Networks," Proceedings WSNA 2003, San Diego, CA September 2003.

• M. Josie Ammer, Michael Sheets, Tufan Karalar, Mika Kuulusa, and Jan Rabaey, "A Low-Energy Chip-Set for Wireless Intercom," Proceedings 40th Design Automation Conference, Anaheim, June 2003, pp. 916-919.

• Dragan Petrovic , Rahul C. Shah, Kannan Ramchandran, Jan Rabaey, "Data Funneling: Routing with Aggregation and Compression for Wireless Sensor Networks," SNPA Workshop, ICC 2003 International Conference on Communications, Anchorage, May 2003.

• Jan M. Rabaey, "Ultra-Low Cost and Power Communication and Computation Enables Ambient Intelligence," Proceedings Smart Object Conference, Grenoble, May 03, pp 11-13.

• Konstantinos Sarrigeorgidis, Jan M. Rabaey, "Massively Parallel Wireless Reconfigurable Processor Architecture and Programming", 10th Reconfigurable Architectures Workshop RAW 2003, April 22, 2003, Nice, France. 

• Martin Kubisch, Holger Karl, Adam Wolisz, Lizhi Charlie Zhong, Jan Rabaey, "Distributed Algorithms for Transmission Power Control in Wireless Sensor Networks," Proceedings WCNS03, IEEE Wireless Communications and Networking Conference, New Orleans, March 2003.

2002

• Rong Chen, Marco Sgroi, Grant Martin, Luciano Lavagno, Alberto Sangiovanni-Vincentelli, Jan Rabaey, Embedded System Design Using UML and Platforms,  Proceedings of Forum on Specification and Design Languages 2002 (FDL'02), September, 2002.

• Otis BP, Rabaey JM. A 300 mu W 1.9GHz CMOS oscillator utilizing micromachined resonators.  ESSCIRC 2002, Proceedings of the 28th European Solid-State Circuit Conference, Florence, September 2002, pp.151-4.

• Savarese C, Langendoen K. and  Rabaey J,  Robust positioning algorithms for distributed ad-hoc wireless sensor networks.  Proceedings of the 2002 USENIX Annual Technical Conference, Monterey, June 2002, pp.317-27. 

• Rabaey J., "Ultra low-energy transceivers for wireless sensor networks," Proceedings 15th Symposium on Integrated Circuits and Systems Design, Porto Allegre, Brazil, September 2002, pp.386.

• Willig A, Shah R, Rabaey J, Wolisz A. Altruists in the PicoRadio sensor networks. Proceedings 4th IEEE International Workshop on Factory Communication Systems (WFCS), Varsalas Sweden, August 2002, pp.175-84 .

• Rabaey JM and Sangiovanni-Vincentelli A., "System-on-a-Chip - A Platform Perspective", Proceedings 9th Korean Conference on Semiconductors, April 2002.

• Shah RC, Rabaey JM. Energy aware routing for low energy ad hoc sensor networks.  2002 IEEE Wireless Communications and Networking Conference Record. WCNC 2002, Orlando, Florida, March 2002, pp.350-5 .

• Rabaey JM, Ammer J, Karalar T, Suetfei Li, Otis B, Sheets M, Tuan T. "PicoRadios for wireless sensor networks: the next challenge in ultra-low power design., 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers , February 2002, San Francisco, pp.200-1. (Winner ISSCC Jack Raper Award)

2001

• Zhong LC, Rabaey J, Chunlong Guo, Shah R. Data link layer design for wireless sensor networks. Proceedings IEEE 2001 MILCOM Conference, Oct. 2001, pp.352-6 .

• Chunlong Guo, Lizhi Charlie Zhong, Rabaey JM., "Low power distributed MAC for ad hoc sensor radio networks," GLOBECOM'01, IEEE Global Telecommunications Conference, San Antonio, November 2001, Part vol 5, pp.2944-8 

• Suet-Fei Li, Roy Sutton, Jan Rabaey, "Low Power Operating System for Heterogeneous Wireless Communication Systems," PACT 01 Conference, Barcelona, Spain September 8-12, 2001.

• Rabaey JM. "Wireless beyond the third generation-facing the energy challenge,"  ISLPED'01: Proceedings of the 2001 International Symposium on Low Power Electronics and Design, Monterey, August 2001, pp1.-3 . 

• Sgroi M, Sheets M, Mihal A, Keutzer K, Malik S, Rabaey J, Sangiovanni-Vincentelli A. "Addressing the system-on-a-chip interconnect woes through communication-based design." Proceedings of the 38th Design Automation Conference, Las Vegas, June 2001, pp.667-72.

• Lizhi Charlie Zhong, Rahul Shah, Chunlong Guo, Jan Rabaey, "An Ultra-Low Power and Distributed Access Protocol for Broadband Wireless Sensor Networks," IEEE Broadband Wireless Summit, Las Vegas, N.V., May 2001.

• Savarese C, Rabaey JM, Beutel J.," Locationing in distributed ad-hoc wireless sensor networks,". 2001 IEEE International Conference on Acoustics, Speech, and Signal Processing. Proceedings, May 2001, Part vol.4,  pp.2037-40.

• Tim Tuan, Suet-Fei Li, Rabaey J." Reconfigurable platform design for wireless protocol processors."Proceedings 2001 IEEE International Conference on Acoustics, Speech, and Signal Processing. Proceedings Part vol.2, May 2001, pp.893-6.

• da Silva JL Jr, Shamberger J, Ammer MJ, Guo C, Li S, Shah R, Tuan T, Sheets M, Rabaey JM, Nikolic B, Sangiovanni-Vincentelli A, Wright P. "Design methodology for PicoRadio networks," Proceedings Design, Automation and Test in Europe Conference 2001. Munich, March. 2001, pp.314-23.

2000

• Rabaey JM, Potkonjak M, Koushanfar F, Suet-Fei Li, Tuan T., "Challenges and opportunities in broadband and wireless communication designs, Proceedings IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000,  Nov. 2000, pp.76-82 .

• Koushanfar F, Prabhu V, Potkonjak M, Rabaey JM., "Processors for mobile applications," Proceedings 2000 International Conference on Computer  Design (ICCD00), Sept.. 2000, pp.603-8.

• Rabaey JM,  "Silicon Platforms for the Next Generation Wireless Systems  What Role does Reconfigurable Hardware Play?”  Field-Programmable Logic and Applications. 10th International Conference, FPL 2000, Austria, Proceedings Springer-Verlag. 2000, pp.277-85. Berlin , Germany .

• J. Musicer and J. Rabaey, An Analysis of MOS Current Mode Logic for Low Power and High Performance Digital Logic, Proceedings ISLPED 2000, July 2000.

• Ghazal, N.; Newton, R.; Rabaey, J., Predicting Performance Potential of Modern DSPs, Proceedings Design Automation Conference 2000, Los Angeles, June 2000, pp. 332-336.

• Sgroi M, da Silva JL Jr, De Bernardinis F, Burghardt F, Sangiovanni-Vincentelli A, Rabaey J., "Designing wireless protocols: methodology and applications," Proceedings 2000 IEEE International Conference on Acoustics, Speech, and Signal Processing, June 2000, pp.3726-9 vol.6.

• Da Silva, J.L., Jr.; Sgroi, M.; De Bernardinis, F.; Li, S.F.; Sangiovanni-Vincentelli, A.; Rabaey, J., Wireless protocols design: challenges and opportunities, Proceedings of the Eighth International Workshop on Hardware/Software Codesign CODES 2000, San Diego, May 2000, pp. 147-151.

• J. Rabaey, J. Ammer, J.L. da Silva Jr., D. Patel, PicoRadio: Ad-hoc Wireless Networking of Ubiquitous Low- Energy Sensor/Monitor Nodes,  Proceedings of the WVLSI, Orlando, Fl, USA, April 2000.

• H. Zhang, V. Prabhu, V. George, M. Wan, M. Benes, A. Abnous, and J. Rabaey, A 1 V Heterogeneous Reconfigurable Processor IC for Baseband Wireless Applications, International Solid State Circuits Conference, February 2000, pp. 68-69.

• Ghazal, N.; Newton, R.; Rabaey, J., Retargetable estimation scheme for DSP architecture selection,  Proceedings ASP-DAC 2000, Yokohama, Japan, Jan. 2000, pp. 377-380.

• Rabaey, J., Low-power silicon architectures for wireless communications, Proceedings ASP-DAC 2000, Yokohama, Japan, Jan. 2000, pp. 377-380.

1999

• Wan, M.; Hui Zhang; Benes, M.; Rabaey, J., A low-power reconfigurable data-flow driven DSP system, Proceedings 1999 IEEE Workshop on Signal Processing Systems. SiPS 99, Taipei. Taiwan, October 1999, pp. 191-200.

• Suet-Fei Li; Wan, M.; Rabaey, J., Configuration code generation and optimizations for heterogeneous reconfigurable DSPs, “ Proceedings 1999 IEEE Workshop on Signal Processing Systems. SiPS 99, Taipei. Taiwan, October 1999, pp. 168-180.

• V. George, H. Zhang, and J. Rabaey, The Design of a Low Energy FPGA, Proceedings 1999 International Symposium on Low Power Electronics and Design, August 1999, pp. 183-193. 

• Zhang, Hui, Marlene Wan, Varghese George, Jan Rabaey, "Interconnect Architecture Exploration for Low Energy Reconfigurable Single-Chip DSPs" Proceedings of the WVLSI , Orlando, FL, USA, April 1999.

1998

 • J . Rabaey, "Design Methodology and Tools for Wireless System Design", Proceedings 1998 Norchip Conference, pp. 183-189, Lund, Sweden, November 1998.

• Kusse, E.; Rabaey, J., "Low-energy embedded FPGA structures", Proceedings 1998 International Symposium on Low Power Electronics and Design, pp 155-160, Monterey, CA, August 1998. 

• Hui Zhang, Jan Rabaey, "Low-Swing Interconnect Interface Circuits," Proceedings of International Symposium on Low Power Electronics and Design, pp 161-166, Monterey, CA, August 1998. 

• Srini, V.P.; Thendean, J.; Ueng, S.-Z.; Rabaey, J.M. "Parallel DSP with memory and I/O processors. Proceedings of the SPIE - The International Society for Optical Engineering, vol.3452, pp 2-13, (Parallel and Distributed Methods for Image Processing II, San Diego, CA, USA, 19-20 July 1998.

• Guerra, L.; Potkonjak, M.; Rabaey, J, "A methodology for guided behavioral-level optimization", Proceedings 35th Design and Automation Conference, p.309-14, June 1998.

• Roy A. Sutton, Vason P. Srini, Jan M. Rabaey, "A Multiprocessor DSP Systems Using PADDI-2," Proceedings of the 35th IEEE/ACM Design Automation Conference, pp. 62-65, June 1998.

• Wan, M.; Ichikawa, Y.; Lidsky, D.; Rabaey, J., "An energy conscious methodology for early design exploration of heterogeneous DSPs", Proceedings of the IEEE 1998 Custom Integrated Circuits Conference, p.111-117, Santa Clara, May 1998.

• Rabaey, J.M. (Edited by: Smailagic, A.; Brodersen, R.De Man, H.), "Experiences and challenges in system design", Proceedings IEEE Computer Society Workshop on VLSI'98 System Level Design, pp. 2-4, Orlando, Florida, April 1998.

• Abnous, A.; Seno, K.; Ichikawa, Y.; Wan, M.; Rabaey, J. (Edited by Rolim, J.), "Evaluation of a low-power reconfigurable DSP architecture", Proc. Parallel and Distributed Processing. SPDP '98 Workshops, p.55-60, Springer-Verlag, March 1998

• Rabaey, J.; Wan, M. "An energy-conscious exploration methodology for reconfigurable DSPs", Proceedings. Design, Automation and Test in Europe (DATE) Conference, pp. 341-342, Paris, February 1998.

• J. Rabaey et al., "Hybrid reconfigurable processors-the road to low-power consumption", IEEE VLSI Conference, pp. 300-303, Madras, India, January 1998.

1997

• J. Rabaey, A. Abnous, Y. Ichikawa, K. Seno and M. Wan., "Heterogeneous Reconfigurable Systems", Proc. 1997  IEEE Workshop on Design and Implementation of Signal Processing Systems, Leicester, England, pp. 24-34, November 1997.

• J. Rabaey, "System-Level Power Estimation and Optimization - Challenges and Perspectives", International Symposium on Low-Power Design, pp. 158-160, Monterey, August 1997.

• Vason Srini, David Pini, Matt D Armstrong, Sayf H Alalusi, John Thendean, Sain-Zee Ueng, David P Bushong, Erek S Borowski, Elaine Chao, Jan M Rabaey, "An architecture for web-based image processing," Proceedings of the SPIE - The International Society for Optical Engineering, vol.3166, pp. 90-101, August 1997.

• V. Srini, N. Chow, R. Sutton, J. Rabaey, "MultiPADDI-2 board for image processing," Proceedings of the SPIE - The International Society for Optical Engineering, vol.3166: pp. 78-89, August 1997.

• Ole Bentz,  David B. Lidsky,  Jan Rabaey,  "A Dynamic Design Estimation and Exploration Environment", Proceedings of the IEEE/ACM Design Automation Conference, pp. 190-195, June 1997.

• J. Rabaey, "Reconfigurable Processing: The Solution to Low-Power Programmable DSP", Proceedings ICASSP 1997, Munich, pp. , April 1997.

1996

• Mehra, R.; Rabaey, J., "Exploiting regularity for low-power design", Proceedings IEEE ICCAD Conference, San Jose, pp. 166-172, Nov. 1996.

• Arthur Abnous and Jan Rabaey, "Ultra-Low-Power Domain-Specific Multimedia Processors," Proceedings of the IEEE VLSI Signal Processing Workshop, San Francisco, pp. 461-470, October 1996.

• Shan-Hsi Huang; Rabaey, J.M, " An integrated framework for optimizing transformations",  Proceedings of the IEEE VLSI Signal Processing Workshop, San Francisco, pp. 263-72, October 1996.

• L. Guerra, M. Potkonjak, J. Rabaey  "Divide-and-conquer techniques for global throughput optimization",  Proceedings of the IEEE VLSI Signal Processing Workshop, San Francisco, pp. 137-46, October 1996.

• Le, M.T.; Rabaey, J., "A global QoS management for wireless networks",  Proceedings of 1996 IFIP World Conference on Mobile Communications, Canberra, Australia,  pp. 205-218,  Sept. 1996.

• D. Lidsky and J. Rabaey, "Early Power Estimation - A Worldwide Web Application", Proceedings 33rd Design Automation Conference, pp. 27-32, Las Vegas, June 1996.

• J. Rabaey, "Exploring the Low-power Dimension", Proceedings of the Custom Integrated Circuit Conference, pp. 215-220, May 1996.

• R. Mehra , L. Guerra, J. Rabaey, "Exploiting Locality for Low-Power Design,"  Proceedings of the Custom Integrated Circuit Conference, pp. 401-404, May 1996.

1995

• O. Bentz, D. Lidsky, J. Rabaey, "Information Based Design Environment," Proceedings of the IEEE VLSI Signal Processing Workshop, Osaka, October, 1995, also in VLSI Signal Processing VIII, IEEE Press, pp.237-246, Oct. 1995.

• J. Rabaey, L. Guerra, and R. Mehru, "Design Guidance in the Power Dimension", in Proc. 1995 IEEE ICASSP Conference, pp. 2837-2840, Detroit, May 1995.

• J. Rabaey, M. Potkonjak and K. Wakabayashi, "Efficient throughput optimization of feedback linear computations using Generalized Horner's scheme," in Proc. 1995 IEEE ICCASSP Conference, pp. 2659-2662, Detroit, May 1995.

• M. Potkonjak and J. Rabaey, "Power Minimization in DSP Application-Specific Systems using Algorithm Selection," in Proc. 1995 IEEE ICCASSP Conference, pp. 2639-2642, Detroit, May 1995.

• P. Landman and J. Rabaey, "Activity-Sensitive Architectural Power Analysis for the Control Path," Proceedings of the 1995 International Symposium on Low-Power Design, pp. 93-98, Dana Point, CA, April, 1995.

• E. Brewer; T. Burd; F. Burghardt; A. Burstein; R. Doering; K. Lutz; S. Narayansaramy; T. Pering; B. Richards; T. Truman; R. Katz; J. Rabaey; R. Brodersen, "Design of wireless portable systems," Proceedings of the IEEE COMPCON '95, pp. 163-168, San Francisco, CA, March, 1995.

• M. Le, F. Burghardt, S. Seshan, and J. Rabaey, "InfoNet: The Networking Infrastructure of Infopad," Proceedings of the IEEE COMPCON '95, pp. 163-168, San Francisco, CA, March, 1995.

• A. Yeung, and J. Rabaey, "A 210 Mb/s Radix-4 Bit-level Pipelined Viterbi Decoder," Proceedings of the IEEE International Solid-State Circuits Conference, pp. 169-176, San Francisco, CA, February 1995.

• A. Yeung, and J. Rabaey, "A 2.4 GOPS Data-Driven Reconfigurable Multiprocessor IC for DSP," Proceedings of the IEEE International Solid-State Circuits Conference, San Francisco, CA, February 1995.

1994

• R. Brodersen; T. Burd; F. Burghardt; A. Burstein; A. Chandrakasan; R. Doering; S. Narayanaswamy; T. Pering; B. Richards; T. Truman; J. Rabaey, "Research Challenges in Wireless Multimedia," IEEE Personal, Indoor and Mobile Radio Communications (PIMRC) Workshop, pp. 1- 5, Sept. 1994.

• M. Potkonjak and J. Rabaey, "Algorithm Selection: A Quantitative Computation-Intensive Optimization Approach", Proc. ICCAD Conference, Santa Clara, Nov. 1994.

• M. Le, S. Seshan, F. Burghardt, and J. Rabaey, "Software Architecture for the Infopad System," Proceedings of the Mobidata Workshop, Rutgers University, New Jersey, October, 1994.

• D. Lidsky and J. Rabaey, "Low Power Design of Memory Intensive Functions", Proceedings 1994 Symposium on Low Power Electronics, San Diego, Oct. 1994.

• M. Potkonjak, J. Rabaey, "Area-Time VLSI High Level Synthesis: Theory and Practice," Proceedings 1994 IEEE Workshop on VLSI Signal Processing, La Jolla, CA, Oct. 26-28, 1994.

• L. Guerra, M. Potkonjak, J. Rabaey, "System-Level Design Guidance Using Algorithm Properties," Proceedings 1994 IEEE Workshop on VLSI Signal Processing, La Jolla, CA, Oct. 26-28, 1994

• D. Lidsky, J. Rabaey, "Low-Power Design of Memory Intensive Functions Case Study: Vector Quantization," Proceedings 1994 IEEE Workshop on VLSI Signal Processing, La Jolla, CA, Oct. 26-28, 1994.

• B. Barringer, T. Burd, F. Burghardt, A. Burstein, A. Chandrakasan, R. Doering, S. Narayanaswamy, T. Pering, B. Richards, T. Truman, J. Rabaey, and R. Brodersen, “InfoPad: A System Design for Portable Multimedia Access,” Proc. Calgary Wireless ’94 Conf., July 1994.

• I. Verbauwhede, C. Scheers, J. Rabaey, "Memory Estimation for High Level Synthesis," Proceedings Design Automation Conference, San Diego, CA, June, 1994.

• L. Guerra, M. Potkonjak, J. Rabaey, "Concurrency Characteristics in DSP Programs," Proceedings ICASSP-94, Sydney, Australia, April, 1994.

• I. Verbauwhede, C. Scheers, J. Rabaey, "Specification and Support of Multi-Dimensional DSP in the Silage Language," Proceedings of ICASSP-94, Sydney, Australia, April, 1994.

• R. Mehra, J. Rabaey, "Behavioral Level Power Estimation and Exploration," Proceedings 1994 International Workshop on Low Power Design, Napa, CA, April 24-27, 1994, pp. 197-202.

• P. Landman, J. Rabaey, "Black-Box Capacitance Models for Architectural Power Analysis," Proceedings 1994 International Workshop on Low Power Design, Napa, CA, April 24-27, 1994, pp. 165-170.

• S. Huang and J. Rabaey, "Behavioral Transformations to maximize the throughput of real time applications", Proceedings of EDAC-EUROASIC 1994, pp. 25-30,  Paris, Febr. 1994.

1993

• J. Rabaey and L. Guerra, "Exploring the Architectural and Algorithmic Design Space of Real Time Applications", Proceedings ICVC 93, pp. 315-319, Taejon, Korea, November 1993 (invited paper).

• L. Guerra, M. Potkonjak and J.  Rabaey, "High Level Synthesis for Reconfigurable Data Path Structures", Proceedings IEEE ICCAD Conference, November 1993.

• M. Corazao, M. Khalaf, L. Guerra, M. Potkonjak and J. Rabaey,"Instruction Set Mapping for Performance Optimization", Proceedings IEEE ICCAD Conference, November 1993.

• M. Potkonjak, L. Guerra and J. Rabaey, "Heterogenous BISR Techniques for Yield and Reliability Enhancement using High Level Synthesis Transformations", 1993 International Conference on Application Specific Array Processors, Venice, October 1993.

• JM Rabaey, DD Gajski, Design Synthesis and Tools for DSP, ICVC: International Conference on VLSI and CAD, pp 1-50, Oct 1993.

• L. Guerra, M. Potkonjak, and J. Rabaey, "High Level Synthesis for Efficient Built-in Self Repair", 1993 International Workshop on Defect and Fault Tolerance in VLSI Systems, Venice, October 1993.

• M. Potkonjak and J. Rabaey, "Exploring the Algorithmic Design Space using High Level Synthesis", Proceedings of the 1993 IEEE Workshop on VLSI Signal Processing, October 20-22, 1993, Koningshof, Veldhoven, The Netherlands.

• Jan M. Rabaey, "Fast Prototyping of Real Time Systems: A New Challenge?", Proceedings of the 1993 IEEE Workshop on VLSI Signal Processing, October 20-22, 1993, Koningshof, Veldhoven, The Netherlands (invited paper).

• S. Lee and J. Rabaey, "A Hardware-Software Co-simulation Environment", Proceedings Hardware-Software Co-design Workshop, Cambridge, October 1993.

• S. Huang and J. Rabaey, "Behavioral Transformations to Maximize the Throughput of Real Time Applications", SRC Techcon, Atlanta, September 1993.

• M. Potkonjak and J. Rabaey, "DSP Arithmetic Computation has Unlimited Parallellism", Proceedings ICASSP Conference, Minneapolis, April 1993.

• P. Landman and J. Rabaey, "Power Estimation for High Level Synthesis" Proceedings EDAC Conference, Paris, February 1993.

• A. Yeung and J. Rabaey, "A Reconfigurable Data Driven Multi-Processor Architecture for Rapid Prototyping of High Throughput DSP Algorithms", Proceedings HICCS Conference, Hawaii, January 1993.

1992

• A. Chandrakasan, M. Potkonjak, J. Rabaey and R. Brodersen, "Hyper-LP: A System for Power Minimization Using Architectural Transformations," IEEE ICCAD Conf, Santa Clara, November 1992.

• M. Potkonjak and J. Rabaey, "Maximally Fast and Arbitrarily Fast Implementation of Linear Computations", IEEE ICCAD Conf, Santa Clara, November 1992.

• A. Chandrakasan, M. Potkonjak, J. Rabaey and R. Brodersen, "An Approach for Power Minimization using Transformations", VLSI Signal Processing Workshop, IEEE Press, pp. 41-50, Napa, October 1992.

• A. Yeung and J. Rabaey, "A Data-Driven Architecture for Rapid Prototyping of High Throughput DSP Algorithms", Proceedings VLSI  Signal Processing Workshop, IEEE Press, pp. 225-234, Napa, October 1992.

• D. Chen, L. Guera, E. Ng, M. Potkonjak, D. Schultz and J. Rabaey,  "An Integrated System for Rapid Prototyping of High Speed, Algorithmic Specific Data Paths", Proc. ASAP92 International Conference on Application Specific Array Processors, Berkeley, August 1992.

• M. Potkonjak and J. Rabaey, "Pipelining: Just Another Transformation", Proc. ASAP92 International Conference on Application Specific Array Processors, Berkeley, August 1992.

• P. Hoang and J. Rabaey, "Hierarchical Scheduling of DSP Programs onto MultiProcessors for Maximum Throughput", Proc. ASAP92 International Conference on Application Specific Array Processors , Berkeley, August 1992.

• M. Potkonjak and J. Rabaey, "Probabilistic Rejectionless Anti-Voter Optimization Algorithm", Proceedings ISCAS Conference, San Diego, May 1992.

• M. Potkonjak and J. Rabaey, "Fast Implementation of Recursive Programs Using Transformations", Proceedings ICASSP Conference, San Francisco, pp. V569-572, March 1992.

• P. Hoang and J. Rabaey, "A Compiler for MultiProcessor DSP Implementation", Proceedings ICASSP Conference, pp. 581-584, San Francisco, March 1992.

• C. Chu and J. Rabaey, "Hardware Selection and Clustering in the HYPER Synthesis System", Proceedings EDAC Conference, Brussels, March 1992.

• D. Chen, L. Guerra, E. Ng, D. Schultz, C. Yu and J. Rabaey, "A Field Programmable Architecture for High Speed Signal Processing Applications", Proc. 1992 ACM Workshop on Field Programmable Gate Arrays, pp. 117-122, Berkeley, February 1992.

• D. Chen and J. Rabaey, "A Reconfigurable Multiprocessor IC for Rapid Prototyping of Real Time Data Paths", Proc. IEEE ISSCC Conference, pp. 74-75, San Francisco, February 1992.

1991

• P. Landman and J. Rabaey, "Design for Low Power with Applications to Speech Coding", Proc. International Micro-Electronics Conference, Cairo, December 1991.

• M. Potkonjak and J. Rabaey, "Optimizing the Resource Utilization Using Transformations", Proc. IEEE ICCAD Conference, November 1991.

• J. Rabaey and M. Potkonjak, "Complexity Estimation for Real Time Application Specific Circuits", Proc. IEEE ESSCIRC Conference, Milan, September 1991.

• J. Rabaey and M. Potkonjak, "Estimation in High Level Synthesis", IFIP International Workshop on Application of Synthesis and Simulation, Lenggries, August 1991.

• A. Kapadia, J. Rabaey and D. Backer, "A Finite Impulse Response Integrated Circuit for Pulsar Recovery", Proceedings IEEE AP and ARS combined Conference, June 1991.

1990

• A. Stolzle, S. Narayanaswamy, P. Schrupp, B. Richards, R. Yu. J. Rabaey and R. Brodersen, "A Flexible VLSI 60,000 Word Real Time Continuous Speech Recognition System", Proc. IEEE Workshop on VLSI Signal Processing, pp. 247-284, November 1990.

• P. Hoang and J. Rabaey, "Program Partitioning for a Reconfigurable MultiProcessor System", Proc. IEEE Workshop on VLSI Signal Processing, pp.53-62, November 1990.

• D. Chen and J. Rabaey, "PADDI : Programmable Arithmetic Devices for Digital Signal Processing", Proc. IEEE Workshop on VLSI Signal Processing, pp. 240-249, November 1990.

• M. Potkonjak and J. Rabaey, "Retiming for Scheduling", Proc. IEEE Workshop on VLSI  Signal Processing, pp. 23-32, November 1990.

• M Weintraub, G Chen, J Mankoski, H Murveit, A Stolzle, S Narayanaswamy, P Schrupp, B Richards, J Rabaey, R Brodersen, "Hardware for hidden Markov-model-based, large-vocabulary real-time speech recognition," Speech and Natural Language: Proceedings of a Workshop Held at Hidden Valley, Pennsylvania, June 24-27, 1990.

• D. Chen, R. Yu, J. Rabaey and R. Brodersen, "A VLSI Grammar Processing SubSystem for a Real Time Large Vocabulary Continuous Speech Recognition System", Proceedings IEEE CICC 1990 Conf., Boston, May 1990.

• D. Genin, J. Rabaey,  P. Hilfinger, C. Scheers and H. De Man, "DSP Specification using the SILAGE Language", Proceedings IEEE ICASSP Conference, Albequerque, April 1990.

• J. Rabaey and M. Potkonjak, "Resource Driven Synthesis in the HYPER System", Proceedings ISCAS 1990, New Orleans, May 1990.

• Zegers, J.; Six, P.; Rabaey, J.; De Man, H., "CGE: automatic generation of controllers in the CATHEDRAL-II silicon compiler," Proceedings of the European Design Automation Conference (EDAC), pp. 617-621, March 1990.

1989

• C. Chu, M. Potkonjak, M. Thaler and J. Rabaey, "HYPER : An Interactive Synthesis Environment for High Performance Real Time Applications", Proceedings of the IEEE ICCD Conference, pp 432-435, Boston, October 1989.

• R. Brodersen and J. Rabaey, "Evolution of Microsystem Design", Proceedings ESSCIRC Conference, Vienna, September 1989.

• M. Potkonjak and J. Rabaey, "A Scheduling and Resource Allocation Algorithm for Hierarchical Flow Graphs", Proceedings of the IEEE Design Automation Conference, Las Vegas 1989.

• F. Catthoor, J. Rabaey and H. De Man, "Target Architectures in The Cathedral Synthesis Environment", Proceedings IEEE ISCAS Conference, Portland 1989.

• W. Koh, A. Yeung, P. Hoang and J, Rabaey, "A Configurable MultiProcessor System For DSP Behavioral Simulation", Proceedings IEEE ISCAS Conference, Portland 1989.

• H. Murveit, J, Rabaey et all, "Real Time Large Vocabulary Continuous Speech Recognition", Proceedings IEEE ICASSP Conference, Glasgow 1989.

• A. Stoelzle, S. Narayanaswamy, K. Kornegay, J. Rabaey and R. Brodersen, "A VLSI Word Processing Subsystem for a Real Time Large Vocabulary Continuous Speech Recognition System", Proceedings IEEE CICC Conference, San Diego, May 1989.

• C. Shung, J. Rabaey, R. Brodersen et all, "An Integrated CAD System for Algorithmic-Specific IC Design", Proceedings IEEE Conference on Systems Design, Hawaii, January 1989.

1988

• G. Goossens, D. Lanneer, J. Vanhoof, J, Rabaey, J. Van Meerbergen and H. De Man, "Optimization based synthesis of multi-processor chips for signal processing with CATHEDRAL-II", Proceedings International Workshop on Logic and Architecture Synthesis for Silicon Compilers, Elsevier Science Publishers, 1988.

1987

• G. Goossens, J. Rabaey, J. Vandewalle and H. De Man, "An efficient microcode-compiler for custom DSP-processors", IEEE ICCAD-Conference, Santa Clara, pp. 24-27, November 1987.

• H. De Man, J. Rabaey, P. Six, L. Claesen, "Computer Aided Synthesis of Digital Signal Processing ASICs", Proceedings Journees d'Electronique, Lausanne, pp. 121-137, October 1987.

• J. Rabaey and H. De Man , "Computer Aided Design of Digital Signal Processing Systems : the IMEC view", IEEE ICCD-Conference, Port Chester, pp. 134-137, October 1987.

• J. Vanhoof, J. Rabaey, H. De Man, "A Knowledge-based CAD System for Synthesis of Multi-processor Digital Signal Processing Chips", Proceedings IFIP Int. Conf. VLSI-87, Vancouver, pp. 41-56, August 1987.

• J. Rabaey, J. Vanhoof, G. Goossens, F. Catthoor, H. De Man, "Cathedral II : Computer Aided Synthesis of Digital Signal Processing Systems", IEEE CICC Conference, Portland, pp.157-160, May 1987.

1986

• P. Ruetz, R. Jain, C. Shung, J. Rabaey, G. Jacobs & R. Brodersen, "Automatic layout generation of real-time digital image processing circuits", Proceedings IEEE CICC Conference 1986, pp. 111-115, Rochester, May 1986.

• P. Six, L. Claesen, J. Rabaey, H. De Man, "An Intelligent Module Generator Environment", Proceedings of the 23nd Design Automation Conference, pp. 730-735, Las Vegas, July 1986.

• F. Catthoor, J. Rabaey, G. Goossens, J. Van Meerbergen, R. Jain, H. De Man, J. Vandewalle, "General Datapath, Controller and Inter-communication Architectures of a Dedicated Multi-processor Environment", Proceedings of the ISCAS'86 Conference, Vol. 2 of 3, pp. 730-732, San Jose, May 1986.

• G. Goossens, J. Rabaey, F. Catthoor, J. Vanhoof, R. Jain, H. De Man, J. Vandewalle, "A computer-aided design methodology for mapping DSP algorithms onto custom multiprocessor architectures", Proceedings of the ISCAS'86 Conference, Vol. 3 of 3, pp. 924-926,  San Jose, May 1986.

• J. Rabaey and R. Brodersen, "Experiences with automated design of audio band DSP circuits", Proceedings IEEE ICASSP Conference, pp. 1541-1544, Tokyo, April 1986.

1985

• J. Rabaey, S. Pope and R. Brodersen, "An Integrated Automated Layout Generation System for Digital Signal Processing Circuits", Proceedings IEEE CICC Conference 1985, pp. 217-220, Portland, May 1985.

• R. Brodersen; J. Rabaey, A/D architectures of the future, 1985 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, pp. 228-229, Febr 1985.

1984

S Pope, J Rabaey, RW Brodersen, Automated design of signal processors using macrocells, VLSI signal processing Workshop, pp. 239-251, Los Angeles, 1984.

1983

• J. Rabaey, J. Vandewalle and H. De Man, "A general and efficient noise analysis technique for switched capacitor filters", Proceedings of the IEEE ISCAS Conference 1983, pp. 570-573, Newport, May 1983.

1982

• J. Vandewalle, J. Rabaey, W. Vercruysse and H. De Man, "Computer aided distortion analysis of switched capacitor filters in the frequency domain", Proceedings ESSCIRC Conference, pp. 37-40, Brussels, September 1982.

• J. Vandewalle, H. De Man, J. Rabaey and L. Claesen, "A pictoral derivation of the signal processing mechanisms of multiphase switched capacitor circuits", Proceedings IEEE ISCAS Conference, pp. 25-28, Rome, Italy, May 1982.

1981

• H. De Man, J. Rabaey, L. Claesen and J. Vandewalle, "DIANA-SC : A complete CAD-system for switched capacitor filters", Proceedings ESSCIRC Conference, pp. 130-133, Freiburg, September 1981.

• L. Claesen, H. De Man, J. Rabaey and J. Vandewalle, "An overview of CAD techniques for switched capacitor networks", Proceedings of the ECCTD Conference, pp. 513-517, The Hague, August 1981.

• J. Rabaey, J. Vandewalle and H. De Man, "On the frequency domain analysis of switched capacitor circuits including all parasitics", Proceedings IEEE ISCAS Conference, pp. 868-871, Chicago, April 1981.

1980

• J. Vandewalle, H. De Man and J. Rabaey, "A Unified theory for the computer aided analysis of general switched capacitor networks", Proceedings ECCTD Conference, pp. 147-152, Warsaw, September 1980.

• J. Vandewalle, H. De Man and J. Rabaey, "The adjoint switched capacitor network and its applications", Proceedings IEEE ISCAS-conference, pp. 1031-1034, Houston, April 1980.

• H. De Man, J. Rabaey, G. Arnout and J. Vandewalle, "DIANA as a mixed mode simulator for MOSLSI sampled-data circuits", Proceedings IEEE ISCAS-conference, pp. 435-438, Houston, April 1980.

1979

• H. De Man, J. Rabaey, G. Arnout "On the simulation of switched capacitor filters and convertors using the DIANA-program", Proceedings ESSCIRC 79, pp. 131-133, Southampton, September 1979.