First publication - 1979

First publication - 1979

 

Journal Articles

2023

• J. M. Rabaey, D. Hall, “Integrated Circuits for Biomedical Applications [Guest Editorial]” IEEE Solid-State Circuits Magazine 15 (2), pp. 25-26, Spring 2023.

2022

• Jan M. Rabaey, “Of Brains and Computers,” Now Publishers, Foundations and Trends® in Integrated Circuits and Systems, Vol. 2: No. 1–2, pp 1-192, December 2022.

• Denis Kleyko, Mike Davies, Edward Paxon Frady, Pentti Kanerva, Spencer J Kent, Bruno A Olshausen, Evgeny Osipov, Jan M Rabaey, Dmitri A Rachkovskij, Abbas Rahimi, Friedrich T Sommer, Vector symbolic architectures as a computing framework for emerging hardware, Proceedings of the IEEE, Vol. 110, Issue 10, pp. 1538-1571, October 2022.

• Alisha Menon, Daniel Sun, Sarina Sabouri, Kyoungtae Lee, Melvin Aristio, Harrison Liew, Jan M Rabaey, A Highly Energy-Efficient Hyperdimensional Computing Processor for Biosignal Classification, IEEE Transactions on Biomedical Circuits and Systems, pp 1-11, July 2022.

• Alisha Menon, Anirudh Natarajan, Reva Agashe, Daniel Sun, Melvin Aristio, Harrison Liew, Yakun Sophia Shao, Jan M Rabaey, Efficient emotion recognition using hyperdimensional computing with combinatorial channel encoding and cellular automata, Brain Informatics 9 (14), Springer, June 2022.

• Denis Kleyko, Geethan Karunaratne, Jan M Rabaey, Abu Sebastian, Abbas Rahimi, Generalized Key-Value Memory to Flexibly Adjust Redundancy in Memory-Augmented Networks, IEEE Transactions on Neural Networks and Learning Systems, March 2022.

• Richard A. Gottscho, Edlyn V. Levine, Tsu-Jae King Liu, Paul C. McIntyre, Subhasish Mitra, Boris Murmann, Jan M. Rabaey, Sayeef Salahuddin, Willy C. Shih, H.-S. Philip Wong, Innovating at Speed and at Scale: A Next Generation Infrastructure for Accelerating Semiconductor Technologies,” arXiv.2204:02216, March 2022.

• Matthew Giorgis Anderson, Arno Thielens, Stijn Wielandt, Ali M Niknejad, Jan M Rabaey, Analysis of Ultralow Power Radio Frequency Beamforming Using Transmission-Line Transformers and Tunable Passives, IEEE Transactions on Microwave Theory and Techniques, Vol 70(4), pp. 2473-2488, March 2022.

• Guillaume Tochou, Robin Benarrouch, David Gaidioz, Andreia Cathelin, Antoine Frappé, Andreas Kaiser, Jan Rabaey, A Sub-100-μW 0.1-to-27-Mb/s Pulse-Based Digital Transmitter for the Human Intranet in 28-nm FD-SOI CMOS,” IEEE Journal of Solid-State Circuits, January 2022.

• Arno Thielens, Robin Benarrouch, Andreia Cathelin, Jan M Rabaey, “Surface-Mounted Parallel-Plate Coupler for Cylindric Dielectric Waveguides,” IEEE Transactions on Microwave Theory and Techniques, January 2022.

2021

• A.Zhou, R. Muller, J. Rabaey, “Incremental Learning in Multiple Limb Positions for Electromyography-Based Gesture Recognition using Hyperdimensional Computing,”IEEE TechRxiv, September 2021.

• Geovane Fedrecheski, Laisa CP Costa, S. Afzal, Jan M Rabaey, Roseli D Lopes, Marcelo K Zuffo, “A low-overhead approach for self-sovereign identity in IoT,”arXiv:2107.10232, July 2021.

• G Tochou, A Cathelin, A Frappé, A Kaiser, J Rabaey, “Impact of Forward Body-Biasing on Ultra-Low Voltage Switched-Capacitor RF Power Amplifier in 28 nm FD-SOI,” IEEE Transactions on Circuits and Systems II: Express Briefs, June 2021.

• Denis Kleyko, Mike Davies, E Paxon Frady, Pentti Kanerva, Spencer J Kent, Bruno A Olshausen, Evgeny Osipov, Jan M Rabaey, Dmitri A Rachkovskij, Abbas Rahimi, Friedrich T Sommer, “Vector Symbolic Architectures as a Computing Framework for Nanoscale Hardware,” arXiv preprint arXiv:2106.05268, June 2021.

• Alisha Menon, Anirudh Natarajan, Reva Agashe, Daniel Sun, Melvin Aristio, Harrison Liew, Yakun Sophia Shao, Jan M Rabaey, “Efficient emotion recognition using hyperdimensional computing with combinatorial channel encoding and cellular automata,” arXiv preprint arXiv:2104.02804, April 2021.

• A. Rozas, A. Araujo, J.M. Rabaey, “Analyzing the Performance of WBAN Links during Physical Activity Using Real Multi-Band Sensor Nodes,” Applied Sciences, Vol 11, Issue 7, January 2021.

• Ali Moin, Andy Zhou, Abbas Rahimi, Alisha Menon, Simone Benatti, George Alexandrov, Senam Tamakloe, Jonathan Ting, Natasha Yamamoto, Yasser Khan, Fred Burghardt, Luca Benini, Ana C Arias, Jan M Rabaey, “A wearable biosensing system with in-sensor adaptive machine learning for hand gesture recognition,” Nature Electronics, Vol.4, Issue 1, pp 54-63, January 2021.

2020

• Jan Rabaey, QC van Est, Peter-Paul Verbeek, Joos Vandewalle, “Societal values in digital innovation: who, what and how?: KVAB Thinkers' Programme 2019,” Royal Flemish Academy of Belgium for Science and the Arts (KVAB), December 2020 (also vailable in Dutch).

• Carol L Baumbauer, Matthew G Anderson, Jonathan Ting, Akshay Sreekumar, Jan M Rabaey, Ana C Arias, Arno Thielens, “Printed, flexible, compact UHF-RFID sensor tags enabled by hybrid electronics,” Nature Scientific Reports, Vol 10, Issue 1, October 2020.

• Flavien Solt, Robin Benarrouch, Guillaume Tochou, Oliver Facklam, Antoine Frappé, Andreia Cathelin, Andreas Kaiser, Jan M Rabaey, “Energy Efficient Heartbeat-Based MAC Protocol for WBAN Employing Body Coupled Communication,” IEEE Access, Vol. 8, pp. 82966-182983, October 2020.

• A Moin, A Thielens, A Araujo, A Sangiovanni-Vincentelli, JM Rabaey, “Adaptive Body Area Networks Using Kinematics and Biosignals,” IEEE Journal of Biomedical and Health Informatics,” June 2020.

• R Benarrouch, A Moin, F Solt, A Frappé, A Cathelin, A Kaiser, J Rabaey, “Heartbeat-Based Synchronization Scheme for the Human Intranet: Modeling and Analysis,” arXiv preprint arXiv:2005.05915, May 2020.

• Randy Bryant, Mark Hill, Tom Kazior, Daniel Lee, Jie Liu, Klara Nahrstedt, Vijay Narayanan, Jan Rabaey, Hava Siegelmann, Naresh Shanbhag, Naveen Verma, H-S Philip Wong, “Nanotechnology-inspired Information Processing Systems of the Future, “ arXiv preprint arXiv:2005.02434, May 2020.

2019

• Jan M. Rabaey, “Human-centric Computing,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems 28 (1), 3-11, December 2019.

• Mohsen Imani, Samuel Bosch, Sohum Datta, Sharadhi Ramakrishna, Sahand Salamat, Jan M Rabaey, Tajana Rosing, “QuantHD: A quantization framework for hyperdimensional computing,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Nov. 2019.

• S Datta, RAG Antonio, ARS Ison, JM Rabaey, “A programmable hyper-dimensional processor architecture for human-centric IoT,” IEEE Journal on Emerging and Selected Topics in Circuits and Systems, IEEE Journal on Emerging and Selected Topics in Circuits and Systems, Vol 9”, No 3, pp. 439-452, August 2019.

• Matthew Giorgis Anderson, Arno Thielens, Stijn Wielandt, Ali Niknejad, Jan Rabaey, “Ultralow-Power Radio Frequency Beamformer Using Transmission-Line Transformers and Tunable Passives,” IEEE Microwave and Wireless Components Letters, Vol 29, No 2, pp 158-160, January 2019.

• Ali Moin, Andy Zhou, Simone Benatti, Abbas Rahimi, George Alexandrov, Alisha Menon, Senam Tamakloe, Jonathan Ting, Natasha Yamamoto, Yasser Khan, Fred Burghardt, Ana C Arias, Luca Benini, Jan M Rabaey, “Adaptive EMG-based hand gesture recognition using hyperdimensional computing,” arXiv preprint arXiv:1901.00234, January 2019.

• A Zhou, SR Santacruz, BC Johnson, G Alexandrov, A Moin, FL Burghardt, Jan M Rabaey, Jose M Carmena, Rikky Muller, “A wireless and artefact-free 128-channel neuromodulation device for closed-loop stimulation and recording in non-human primates,” Nature Biomedical Engineering, Vol 3, Issue 1, 15 pages, January 2019.

2018

• W Li, Y Duan, J Rabaey, “A 200-Mb/s Energy Efficient Transcranial Transmitter Using Inductive Coupling,” IEEE transactions on biomedical circuits and systems Vol 13, Issue2, pp. 435-443, December 2018.

• PC Huang, J Rabaey, “A 213-nW/Channel Analog Euclidian Vector Normalizer,” IEEE Transactions on Circuits and Systems II: Express Briefs, Vol. 65 Issue 12, pp. 1909-1913, December 2018.

• A Thielens, R Benarrouch, S Wielandt, M Anderson, A Moin, A Cathelin, J. Rabaey, “A Comparative Study of On-Body Radio-Frequency Links in the 420 MHz–2.4 GHz Range,” Sensors, Vol 18 Issue 12, pp. 4165, December 2018.

• A Rahimi, TF Wu, H Li, JM Rabaey, HSP Wong, MM Shulaker, S Mitra, “Hyperdimensional Computing Nanosystem,” arXiv preprint arXiv:1811.09557.

• A Thielens, I Deckman, R Aminzadeh, AC Arias, JM Rabaey, “Fabrication and Characterization of Flexible Spray-Coated Antennas,” IEEE Access, Vol. 6, October 2018.

• A Rahimi, P Kanerva, L Benini, JM Rabaey, “Efficient Biosignal Processing Using Hyperdimensional Computing: Network Templates for Combined Learning and Classification of ExG Signals,” in IEEE Proceedings, October 2018.

• Tony F Wu, Haitong Li, Ping-Chen Huang, Abbas Rahimi, Gage Hills, Bryce Hodson, William Hwang, Jan M Rabaey, H-S Philip Wong, Max M Shulaker, Subhasish Mitra, “Hyperdimensional Computing Exploiting Carbon Nanotube FETs, Resistive RAM, and Their Monolithic 3D Integration,” in IEEE Journal of Solid-State Circuits, Vol. 53, Issue 11, pp. 3183-3196, Nov 2018.

• NS Kim, JM Rabaey, “A 3.1–10.6-GHz 57-Bands CMOS Frequency Synthesizer for UWB-Based Cognitive Radios,” IEEE Transactions on Microwave Theory and Techniques, Vol. 66, Issue 9, pp. 4134-4146, Sept. 2018.

• S Jung, P Kwon, D Piech, M Maharbiz, J Rabaey, E Alon, “A 2.7 uW Neuromodulation AFE With 200 mVpp Differential-Mode Stimulus Artifact Canceler Including On-Chip LMS Adaptation,” IEEE Solid-State Circuits Letters, Vol. 1 Issue 10, pp. 194-197, Oct 2018.

• PC Huang, JM Rabaey, “A Neuro-Inspired Spike Pattern Classifier,” IEEE Journal on Emerging and Selected Topics in Circuits and Systems, Vol. 8, Issue 3, pp. 555-565, Sept 2018.

• A Moin, A Thielens, A. Araujo, JM Rabaey, “Adaptive Body Area Networks Using Kinematics and Biosignals ,” arXiv preprint arXiv:1807.09723.

• JM Rabaey, “Towards TRUE human-centric computation,” Computer Communications, Elsevier, July 2018.

• NS Kim, JM Rabaey, “A Dual-Resolution Wavelet-Based Energy Detection Spectrum Sensing for UWB-Based Cognitive Radios,” IEEE Transactions on Circuits and Systems–I: Regular Papers, Vol. 65, Issue 7, pp. 2279-2292, July 2018.

• C Sutardja, JM Rabaey, “Isolator-Less Near-Field RFID Reader for Sub-Cranial Powering/Data Link of Millimeter-Sized Implants,” IEEE Journal of Solid-State Circuits, Vol. 53, Issue 7, pp. 2032-2042, July 2018.

• D Kleyko, A Rahimi, DA Rachkovskij, E Osipov, JM Rabaey, “Classification and Recall With Binary Hyperdimensional Computing: Tradeoffs in Choice of Density and Mapping Characteristics,” IEEE Transactions on Neural Networks and Learning Systems, pp 1-19, April 2018.

• Daniela De Venuto, Giovanni Mezzina, Jan Rabaey, "Automatic 3D Design for Efficiency Optimization of a Class E Power Amplifier," IEEE Transactions on Circuits and Systems II: Express Briefs, Volume: 65, Issue: 2, pp. 201-205, Febr. 2018.

2017

• Mohsen Imani, John Hwang, Tajana Rosing, Abbas Rahimi, Jan M Rabaey, Abbas Rahimi, Sohum Datta, Denis Kleyko, Edward Paxon Frady, Bruno Olshausen, Pentti Kanerva, Jan M Rabaey,"Low-Power Sparse Hyperdimensional Encoder for Language Recognition," IEEE Design and Test, Vol 34, Issue 6, pp. 94-101, December 2017.

• Abbas Rahimi, Sohum Datta, Denis Kleyko, Edward Paxon Frady, Bruno Olshausen, Pentti Kanerva, Jan M Rabaey, High-Dimensional Computing as a Nanoscalable Paradigm,  in IEEE Transactions on Circuits and Systems I , Issue 99, June 2017.

• Ping-Chen Huang, Jan M Rabaey, A bio-inspired gas sensing front end, in IEEE Transactions on Circuits and Systems I , Issue 99, June 2017.

• PS Yedavalli, T Riihonen, X Wang, JM Rabaey, Far-Field RF Wireless Power Transfer with Blind Adaptive Beamforming for Internet of Things Devices, in IEEE Access, Vol. 5, pp. 1743-1752, 2017.

• Michel M Maharbiz, Rikky Muller, Elad Alon, Jan M Rabaey, Jose M Carmena, Reliable Next-Generation Cortical Interfaces for Chronic Brain–Machine Interfaces and Neuroscience, Proceedings IEEE, Vol 105, Issue 1, pp 73-82, January 2017.

2016

• C. Salazar; A. Cathelin; A. Kaiser; J. Rabaey, "A 2.4 GHz Interferer-Resilient Wake-Up Receiver Using A Dual-IF Multi-Stage N-Path Architecture," in IEEE Journal of Solid-State Circuits , vol.6, Issue 16,, pp.1-15, July 2016.

• Dongjin Seo,Ryan M. Neely, Konlin Shen, Utkarsh Singhal, Elad Alon, Jan M. Rabaey, Jose M. Carmena, and Michel M. Maharbiz, Wireless Recording in the Peripheral Nervous System with Ultrasonic Neural Dust, Neuron, June 2016.

• NS Kim, JM Rabaey, A high data-rate energy-efficient triple-channel uwb-based cognitive radio, IEEE Journal of Solid-State Circuits, Vol 51 Issue 4, pp  809-820, April  2016.

•  K. Ganesan, P Grover, J Rabaey, A Goldsmith,  On the total power capacity of regular-ldpc codes with iterative message-passing decoders, IEEE Journal on Selected Areas in Communications, Vol 34, Issue 2, pp 375-396, February 2016.

2015

• MMS Aly, M Gao, G Hills, CS Lee, G Pitner , Max M Shulaker, Tony F Wu, Mehdi Asheghi, Jeff Bokor, Franz Franchetti, Kenneth E Goodson, Christos Kozyrakis, Igor Markov, Kunle Olukotun, Larry Pileggi, Eric Pop, Jan Rabaey, Christopher Ré, H-S Philip Wong, Subhasish Mitra, Energy-efficient abundant-data computing: The N3XT 1,000 X, IEEE Computer Magazine, pp. 24-32, Dec, 2015.

• LCP Costa, J Rabaey, A Wolisz, M Rosan, MK Zuff, Swarm os control plane: an architecture proposal for heterogeneous and organic networks, IEEE Transactions on Consumer Electronics, Vol 61, Issue 4, Nov. 2015.

• D Seo, JM Carmena, JM Rabaey, MM Maharbiz, E Alon, Model validation of untethered, ultrasonic neural dust motes for cortical recording, Journal of neuroscience methods, Vol. 244, pp 114-122, April 2015

• William Biederman, Daniel J Yeager, Nathan Narevsky, Jaclyn Leverett, Ryan Neely, Jose M Carmena, Elad Alon, Jan M Rabaey, A 4.78 mm 2 Fully-Integrated Neuromodulation SoC Combining 64 Acquisition Channels With Digital Compression and Simultaneous Dual Stimulation, IEEE Journal of Solid State Circuits, Vol 4 Issue 15, pp 1038-1047, April 2015.

• E Moradi, S Amendola, T Björninen, L Sydänheimo, J. Carmena, J. Rabaey, L. Ukkonen,  Backscattering neural tags for wireless brain-machine interface systems, IEEE Transactions on Antennas and Propagation, pp. 719-726, Febr. 2015.

• Rikky Muller, H-P Le, Wen Li, Peter Ledochowitsch, Simone Gambini, Toni Bjorninen, Aaron Koralek, Jose M Carmena, Michel M Maharbiz, Elad Alon, Jan M Rabaey, A minimally invasive 64-channel wireless uECoG Implant, IEEE Journal of Solid State Circuits, Vol 4 Issue 15, pp  344-359, January 2015.

2014

• Daniela de Venuto and Jan Rabaey, “RFID transceiver for wireless powering brain implanted microelectrodes and backscattered neural data collection”, Microelectronics Journal Elsevier, pp 1585-1594, Dec. 2014.

• Consoli, E.; Palumbo, G.; Rabaey, J.M.; Alioto, M., "Novel class of energy-efficient very high-speed conditional Push-Pull pulsed latches," Very Large Scale Integration (VLSI) Systems, IEEE Transactions on , vol.22, no.7, pp.1593-1605, July 2014.

• Lee, E.A; Hartmann, B.; Kubiatowicz, J.; Simunic Rosing, T.; Wawrzynek, J.; Wessel, D.; Rabaey, J.; Pister, K.; Sangiovanni-Vincentelli, A; Seshia, S.A; Blaauw, D.; Dutta, P.; Fu, K.; Guestrin, C.; Taskar, B.; Jafari, R.; Jones, D.; Kumar, V.; Mangharam, R.; Pappas, G.J.; Murray, R.M.; Rowe, A, "The Swarm at the Edge of the Cloud," Design & Test, IEEE , vol.31, no.3, pp.8-20, June 2014.

• Elham Moradi, Karoliina Koski, Toni Bjorninen, Lauri Sydanheimo, Jan M. Rabaey, Jose M. Carmena, Yahya Rahmat-Samii, and Leena Ukkonen. "Miniature implantable and wearable on-body antennas: Towards the new era of wireless body-centric systems." Antennas and Propagation Magazine, IEEE 56, no. 1 (2014): 271-291.

2013

• Moradi, E.; Bjorninen, T.; Sydanheimo, L.; Carmena, J.M.; Rabaey, J.M.; Ukkonen, L., "Measurement of Wireless Link for Brain-Machine Interface Systems Using Human-Head Equivalent Liquid," Antennas and Wireless Propagation Letters, IEEE , vol.12, no., pp.1307-1310, 2013.

• AH Marblestone, BM Zamft, YG Maguire, MG Shapiro, TR Cybulski, JI Glaser, Dario Amodei, P Benjamin Stranges, Reza Kalhor, D. Dalrymple, DJ Seo, E Alon, MM Maharbiz, JM Carmena, JM Rabaey, ES Boyden, GM Church, KP Kording, Physical principles for scalable neural recording, Frontiers in computational neuroscience, Volume 7:137, Oct 2013.

• Massimo Alioto, Elio Consoli, Jan Rabaey, “EChO: Reconfigurable Power Management Unit for Energy Reduction in Sleep-Active Transitions,” IEEE Journal of Solid-State Circuits, Vol. 48, No 8, pp 1921-1932, August 2013.

• D Seo, JM Carmena, JM Rabaey, E Alon, MM Maharbiz, “Neural Dust: An Ultrasonic, Low Power Solution for Chronic Brain-Machine Interfaces”, arXiv preprint arXiv:1307.2196, June 2013.

• Adam H Marblestone, Bradley M Zamft, Yael G Maguire, Mikhail G Shapiro, Thaddeus R Cybulski, Joshua I Glaser, Ben Stranges, Reza Kalhor, David A Dalrymple, Dongjin Seo, Elad Alon, Michel M Maharbiz, Jose Carmena, Jan Rabaey, Edward S Boyden, George M Church, Konrad P Kording, “Physical principles for scalable neural recording,” arXiv preprint arXiv:1306.5709, June 2013.

• Biederman, W.; Yeager, D.J.; Narevsky, N.; Koralek, A.C.; Carmena, J.M.; Alon, E.; Rabaey, J.M., A fully-integrated miniaturized (0.125mm2) 10.5 uW Wireless Neural Sensor," Solid-State Circuits, IEEE Journal of , vol.48, no.4, pp.960-970, April 2013.

• Liu, T.-T.; Rabaey, J.M., "A 0.25 V 460 nW Asynchronous Neural Signal Processor With Inherent Leakage Suppression," Solid-State Circuits, IEEE Journal of , vol.48, no.4, pp.897,906, April 2013.

2012

• Bjorninen, T.; Muller, R.; Ledochowitsch, P.; Sydanheimo, L.; Ukkonen, L.; Maharbiz, M.M.; Rabaey, J.M., Design of wireless links to Brain-Machine Interface microelectronic systems, Antennas and Wireless Propagation Letters, IEEE , vol.11, no., pp.1663-1666, 2012.

• Gambini, S.; Crossley, J.; Alon, E.; Rabaey, J.M., "A Fully Integrated, 290 pJ/bit UWB Dual-Mode Transceiver for cm-Range Wireless Interconnects," Solid-State Circuits, IEEE Journal of , vol.47, no.3, pp.586,598, March 2012.

2011

• R. Muller, S. Gambini, J. Rabaey. "A 0.013 mm2, 5 uW,  DC Coupled Neural Signal Acquisition IC with 0.5V Supply", IEEE Journal of Solid-State Circuits, pp. 232-243, December 2011.

2010

• J. M. Rabaey, All Systems Go, IEEE Solid-State Circuits Magazine, pp. 32-40, Fall 1010.

• Giorgio Audisio, Giovanni De Micheli, Andreas Kuehlmann, Jan M Rabaey, Alvise Bonivento, Drew Wingard, The birth of the cyber tyre, IEEE Solid-State Circuits Mangazine, Vol 2, No 4, Fall 2010.

• Frantz, G.; Henkel, J.; Rabaey, J.; Schneider, T.; Wolf, M.; Batur, U., “Ultra-Low Power Signal Processing,” IEEE Signal Processing Magazine, Vol. 27 No 2, pp. 488-491, Spring 2010.

• Dejan Markovic, Cheng Wang, Louis Alarcon, Tsung-Te Liu, Jan Rabaey, “Ultralow-Power Design in Near-Threshold Region,” Proceedings of the IEEE, 98(2):237-252, February 2010.

2009

• Liu, T.-T.; Alarcon, L. P.; Pierson, M. D.; Rabaey, J. M.; “Asynchronous Computing in Sense Amplifier-Based Pass Transistor Logic,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Volume 17,  Issue 7,  July 2009, Page(s):883 - 89.

• Pletcher, N.M.; Gambini, S.; Rabaey, J.; "A 52 uW Wake-Up Receiver With 72 dBm Sensitivity Using an Uncertain-IF Architecture," IEEE Journal of Solid-State Circuits,  Volume 44, Issue 1, Jan. 2009 Page(s):269 - 280.

2008

• Shanbhag, N.R.; Mitra, S.; de Veciana, G.; Orshansky, M.; Marculescu, R.; Roychowdhury, J.; Jones, D.; Rabaey, J.M.; "The Search for Alternative Computational Paradigms," Design & Test of Computers, IEEE, Volume 25, Issue 4, July-Aug. 2008 Page(s):334 - 343.

• Rabaey, J.M.; Burke, D.; Lutz, K.; Wawrzynek, J.; "Workloads of the Future," Design & Test of Computers, IEEE, Volume 25, Issue 4, July-Aug. 2008 Page(s):358 - 365 .

• Rabaey, J.M.; Malik, S.; "Challenges and Solutions for Late- and Post-Silicon Design," Design & Test of Computers, IEEE, Volume 25, Issue 4, July-Aug. 2008 Page(s):296 - 302.

2007

• Gambini, S.; Rabaey, J.; "Low-Power Successive Approximation Converter With 0.5 V Supply in 90 nm CMOS," IEEE Journal of Solid-State Circuits, Volume 42, Issue 11, Nov. 2007 Page(s):2348 - 2356.

• L. P. Alarcon, T.-T. Liu, M. D. Pierson, J. M. Rabaey, "Exploring Very Low-Energy Logic: A Case Study," Journal of Low Power Electronics, 3, 223-233, October 2007.

• Otis, B.; Gambini, S.; Shah, R.; Steingart, D.; Quevy, E.; Rabaey, J.; Sangiovanni-Vincentelli, A.; Wright, P.; "Modeling and simulation techniques for highly integrated, low-power wireless sensor networks," Computers & Digital Techniques, IET, Volume 1, Issue 5, Sept. 2007 Page(s):528 - 536.

2006

• Konstantinos Sarrigeorgidis, Jan Rabaey, A scalable configurable architecture for advanced wireless systems, The Journal of Signal Perocessing, Vol. 45, No 3, pp 127-151, Dec. 2006.

• Huifang Qin, Rakesh Vattikonda, Thuan Trinh, Yu Cao, Jan Rabaey, SRAM cell optimization for ultra-low power standby, Journal of Low Power Electronics (JOLPE), Vol. 2, Issue 3, pp 401-411, Dec. 2006.

• Chee, Y.H.; Niknejad, A.M.; Rabaey, J.M; "An Ultra-Low-Power Injection Locked Transmitter for Wireless Sensor Networks," IEEE Journal of Solid-State Circuits, Volume 41, Issue 8, Aug. 2006 Page(s):1740 - 1748.

• Rabaey, J.; Ammer, J.; Otis, B.; Burghardt, F.; Chee, Y.H.; Pletcher, N.; Sheets, M.; Qin, H.; "Ultra-low power design," IEEE Circuits and Devices Magazine, Volume 22, Issue 4, July-Aug. 2006 , Page(s):23 - 29. 

• Petrovic, D.; Ramchandran, K.; Rabaey, J., "Overcoming untuned radios in wireless networks with network coding," Information Theory, IEEE Transactions on Volume 52, Issue 6, June 2006 Page(s):2649 - 2657.  

•  Rabaey, J.M.; De Bernardinis, F.; Niknejad, A.M.; Nikolic, B.; Sangiovanni-Vincentelli, A., "Embedding mixed-signal design in systems-on-chip," Proceedings of the IEEE, Volume 94, Issue 6, June 2006 Page(s):1070 - 1088. 

2005

• H. Qin, Y. Cao, D. Markovic, A. Vladimirescu, and J.M. Rabaey, Standby supply voltage minimization for deep sub-micron SRAM, Microelectronics Journal, vol. 36, no. 9, pp. 789-800, July 2005.

• Roundy, S.; Leland, E.S.; Baker, J.; Carleton, E.; Reilly, E.; Lai, E.; Otis, B.; Rabaey, J.M.; Wright, P.K.; Sundararajan, V, "Improving power output for vibration-based energy scavengers," IEEE Pervasive Computing Journal, , Volume 4, Issue 1, pp. 28-36, Jan.-March 2005.

2004

• K. Sarrigeorgidis and J.M. Rabaey, “Ultra low power CORDIC processor for wireless communication algorithms, Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology, vol. 38, no. 2, pp. 115-130, September 2004.

2003

• Shad Roundy, Paul K. Wright, and Jan Rabaey, "A study of low level vibrations as a power source for wireless sensor nodes," Computer Communications, 26(11):1131-1144, July 2003.

• B.P. Otis an J.M. Rabaey, "A 300 uW 1.9GHz CMOS Oscillator Utilizing Micromachined Resonators," IEEE Journal on Solid State Circuits, July 2003. 

2001

• Wan M, Hui Zhang, George V, Benes M, Abnous A, Prabhu V, Rabaey J., "Design methodology of a low-energy reconfigurable single-chip DSP system", Journal of VLSI Signal Processing, vol.28, no.1-2, May-June 2001, pp.47-61. 

• R. Bryant, T. Cheng, A. Kahng, K. Keutzer, W. Maly, R. Newton, L. Pileggi, J. Rabaey, and A. Sangiovanni-Vincentelli, Limitations and challenges of computer-aided design methodology for VLSI, Proceedings of the IEEE, vol.89, no.3, March 2001, pp.341-65. 

2000

• K. Keutzer, S. Malik, R. Newton, J. Rabaey and A. Sangiovanni-Vincentelli, System Level Design: Orthogonalization of Concerns and Platform-Based Design, IEEE Transactions on Computer-Aided Design of Integrated Circuits & Systems, vol.19, no.12, Dec. 2000, pp.1523-43. 

 • H. Zhang, V. Prabhu, V. George, M. Wan, M. Benes, A. Abnous, and J. Rabaey, A 1 V Heterogeneous Reconfigurable Processor IC for Baseband Wireless Applications, IEEE Journal on Solid State Circuits, November 2000 

J• . Rabaey, J. Ammer, J. da Silva, D. Patel, S. Roundy, Picoradio supports ad-hoc ultra-low power wireless networking, Cover Article, IEEE Computer Magazine, July 2000. 

• H. Zhang, V. George, J. Rabaey, “Low-swing On-chip Signaling Techniques: Effectiveness and Robustness, IEEE Transactions on VLSI Systems, vol. 8 (no.3), IEEE, June 2000, pp. 264-272. 

•  Potkonjak, M.; Rabaey, J.M., Maximally fast implementation of linear and feedback linear compuations,  IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.19, (no.1), IEEE, Jan. 2000, pp.30-43.

1999

• Potkonjak, M.; Rabaey, J.M., "Algorithm selection: a quantitative optimization-intensive approach", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.18, (no.5), p.524-32, IEEE, May 1999.

1998

• L. Guerra, M. Potkonjak, and J. Rabaey, "Behavioral Synthesis of Heterogeneous BISR Reconfigurable ASICs," IEEE Transactions on VLSI Systems, vol. 6 No 1, pp158-167, March 1998.

• D. Lidsky and J. Rabaey, "The Conceptual-Level Design Approach to Complex Systems," Journal of VLSI Signal Processing, vol. 18, No 1, pp. 11-24, January 1998.

• J. Rabaey, R. Brodersen, W. Gass, and T. Nishitani, "VLSI Design and Implementation Fuels the Signal-Processing Revolution," IEEE Signal-Processing Magazine, pp. 22-38, Jan 98.

1997

• R. Mehra, L. Guerra, J. Rabaey, "A partitioning scheme for optimizing interconnect power," IEEE Journal of Solid-State Circuits, V32, pp. 433-443, March 1997.

1996

• Verbauwhede, I.; Scheers, C.; Rabaey, J.M, "Analysis of multidimensional DSP specifications," IEEE Transactions on Signal Processing, , vol.44, (no.12):3169-74, Dec. 1996.

• Mehra, R.; Guerra, L.M.; Rabaey, J.M. " Low-power architectural synthesis and the impact of exploiting locality",  Journal of VLSI Signal Processing, , vol.13, (no.2-3):239-58, Aug.-Sept. 1996.

 • Corazao, M.R.; Khalaf, M.A.; Guerra, L.M.; Potkonjak, M, and J. Rabaey, "Performance optimization using template mapping for datapath-intensive high-level synthesis",  IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.15, (no.8):877-88., Aug. 1996.

 • P. Landman, R. Mehra, J. Rabaey, "An Integrated CAD Environment for Low Power Design," IEEE Design and Test of Computers, vol 13., No 2, pp. 72-82, June 1996.

• P. Landman and J Rabaey, "Activity Sensitive Architectural Power Analysis", IEEE Transactions on CAD, Vol. 15, No 6, pp. 571-587, June 1996.

• S. Narayanaswamy et al., "A low-power lightweight unit to provide ubiquitous access - application and network support for Infopad", IEEE Journal on Personal Communications,, Vol. 3. (no 2), pp. 4-17, April 1996.

1995

• P. Landman and J. Rabaey, "Architectural Power Analysis: The Dual Bit Type Method," IEEE Trans. on VLSI Systems, Vol. 3, No. 2, pp. 173-187, June, 1995.

• D. Singh, J. Rabaey, M. Pedram et al., "Power-conscious CAD tools and methodologies - A Perspective," Proceedings of the IEEE, vol. 83 - no 3, pp. 570-594, April 1995.

• A. Chandrakasan, M. Potkonjak, R. Mehra, J, Rabaey and R. Brodersen, "Optimizing Power using Transformations", IEEE Transactions on Computer Aided Design, vol. 14, No 1, pp. 12-31. January 1995.

• I. Verbauwhede and J. Rabaey, "Synthesis for real time systems: Solutions and Challenges", VLSI Signal Processing Journal, vol. 9 - no 1-2, pp. 67-88, January 1995.

1994

• J. Rabaey, P. Landman, R. Mehru and D. Lidsky, "A High Level Low Power Design Methodology", Nikei MicroElectronics Journal (In Japanese), Nov. 1994.

• M. Potkonjak and J. Rabaey, "Optimizing Throughput and Resource Utilization using Pipelining: a Transformation Based Approach", VLSI Signal Processing Journal, vol. 8, pp 117-130, Oct. 1994.

• J. Rabaey and M. Potkonjak, "Estimating Implementation Bounds for Real Time Application Specific Circuits", IEEE Transactions on Computer Aided Design, vol. 13, No 6, pp. 669-683, June 1994.

• M. Potkonjak and J. Rabaey, "Optimizing the Resource Utilization Using Transformations",  IEEE Transactions on Computer Aided Design, vol. 13, No 3, pp. 277-292, March 1994.

1993

• P. Hoang and J. Rabaey, "Scheduling of DSP Programs onto Multi-processors for Maximum Throughput", IEEE Transactions on Signal Processing, pp. 2225-2235, Vol. 41, No 6,  June 1993. (Winner of IEEE Signal Processing Society Senior Award)

1992

• D. Chen and J. Rabaey, "A Reconfigurable Multiprocessor IC for Rapid Prototyping of Algorithmic Specific, High Speed DSP Data Paths", IEEE Journal of Solid State Circuits, Vol. 27, No 12, pp 1895-1904, December 1992.

• M. Potkonjak and J. Rabaey, "Allocation, Assignment and Scheduling Algorithms for Hierarchical Data Control Flow Graphs", International Journal on Circuit Theory and Applications, April 1992.

1991

• J. Rabaey, C, Chu, P. Hoang and M. Potkonjak, "Fast Prototyping of Datapath-Intensive Architectures", IEEE Design and Test of Computers, pp. 40-51, June 1991.

• D. Chen, R. Yu, J, Rabaey and R. Brodersen, "A VLSI Gramar Processing SubSystem for a Real-Time Large-Vocabulary Continuous Speech Recognition System", IEEE Journal on Solid State Circuits, VOL. 26, No 3, pp. 443-448, March 1991.

• C. Shung, R. Jain, P. Hilfinger, J. Rabaey and R. Brodersen, "An Integrated CAD System for Algorithmic Specific IC Design", IEEE Journal on Computer Aided Design, Vol. 10, No 4, pp 447-463, April 1991.

• A. Stolzle, S. Narayanaswamy, H. Murveit, J. Rabaey and R. Brodersen, "Integrated Circuits for a Real Time Large Vocabulary Continuous Speech Recognition System", IEEE Journal on Solid State Circuits, VOL. 26, No 1, Jan. 1991.

1990

• G. Goossens, J. Rabaey, H. De Man and J. Vandewalle, "An efficient microcode-compiler for custom DSP-processors", IEEE Journal on Computer Aided Design, vol 9, No 9, pp. 925-937, September 1990.

1989

• J. Decaluwe, J. Rabaey, J, Van Meerbergen and H. De Man, "Interprocessor Communication in Synchronous Multi-Processor Digital Signal Processing Chips", IEEE Transactions on Acoustics, Speech and Signal Processing, pp. 1816-1828, December 1989.

1988

• H. De Man, J. Rabaey, J. Vanhoof, G. Goossens, P. Six and L. Claesen, "CATHEDRAL-II - a computer-aided synthesis system for digital signal processing VLSI systems", Computer-Aided Engineering Journal, pp 55-66, April 1988.

• F. Catthoor, J. Rabaey, G. Goossens, J. Van Meerbergen, R. Jain, H. De Man, J. Vandewalle, "Architectural Strategies for an Application Specific Synchronous Multi-processor Environment", IEEE Transactions on Acoustics, Speech and Signal Processing, pp. 265-284, February 1988.

1986

• H. De Man, J. Rabaey, P. Six, L. Claesen, "CATHEDRAL-II : A Silicon Compiler for Digital Signal Processing Multiprocessor VLSI Systems", Design & Test of Computers, pp. 13-25, December 1986.

1985

• J. Rabaey, S. Pope and R. Brodersen, "An integrated automated layout generation system for DSP circuits", IEEE Transactions on CAD, Vol. CAD-4, pp. 285-296, July 1985. (Winner TCAD Best Paper Award 1985)

1983

• L. Claesen, H. De Man, J. Vandewalle and J. Rabaey, "DIANA-SC : a versatile top-down analysis tool for switched capacitor circuits", Microelectronics Journal, Vol. 14, Nr. 2, pp. 37-53, 1983.

• J. Vandewalle, J. Rabaey, W. Vercruysse and H. De Man, "Computer aided distortion analysis of switched capacitor filters in the frequency domain", IEEE Journal of Solid State Circuits, Vol. SC-18, pp. 324-333, June 1983.

1981

• J. Vandewalle, H. De Man and J. Rabaey, "Time, frequency and z-domain modified nodal analysis of switched capacitor networks", IEEE Transactions on Circuits and Systems, Vol. CAS-28, pp. 186-195, March 1981.

• J. Vandewalle, H. De Man and J. Rabaey, "The adjoint switched capacitor network and its application to frequency, noise and sensitivity analysis", Circuit Theory and Applications, Vol. 9, pp. 77-88, January 1981.

1980

• H. De Man, J. Rabaey, G. Arnout and J. Vandewalle, "Practical implementation of a general computer aided design technique for switched capacitor circuits", IEEE Journal of Solid State Circuits, Vol. SC-15, pp. 190-200, April 1980.

1979

• M. Copeland and J. Rabaey, "A dynamic amplifier for MOS-technology", IEE Electronic Letters, Vol. 15, pp. 301-302, May 1979.